Microelectronic Devices and Circuits Lecture 22 - Diff-Amp Anal. III: Cascode, µa Outline Announcements DP:

Size: px
Start display at page:

Download "Microelectronic Devices and Circuits Lecture 22 - Diff-Amp Anal. III: Cascode, µa Outline Announcements DP:"

Transcription

1 6.012 Microelectronic Devices and Circuits Lecture 22 DiffAmp Anal. III: Cascode, µa741 Outline Announcements DP: Discussion of Q13, Q13' impact. Gain expressions. Review Output Stages DC Offset of an OpAmp Pushpull/totem pole output stages Specialty Stages, cont. more useful transistor pairings The Marvelous Cascode Darlington Connection A Commercial OpAmp Example the µa741 The schematic and chip layout Understanding the circuit Bounding midband starting high frequency issues Review of Midband concept The Method of OpenCircuit Time Constants Clif Fonstad, 12/1/09 Lecture 22 Slide 1

2 DC offset at the output of an Operational Amplifier: DC offset: The node between Q 12 and Q 13 is a high impedance node whose quiescent voltage can only be determined by invoking symmetry.* 1.5 V The voltage symmetry says will be at this node. The voltage on these two nodes is equal if there is no input, i.e. v IN1 = v IN2 = 0, and if the circuit is truly symmetrical/matched. This is the high impedance node. Realworld asymmetries mean the voltage on this node is unpredictable. Q 11 Q V Q 13 ' 0.5 V 0.4 V Q 14 Q V A Q V 0 V Q V 0.6 V 0 V Q Q Q V 0.6 V Q 21 B Q 19 The voltage we need at this node to make V OUT = 0. In any practical Op Amp, a very small differential input, v IN1 v IN2, is require to make the voltage on this node (and V OUT ) zero. Clif Fonstad, 12/1/09 Lecture 22 Slide 2

3 DC offset at the output of an Op Amp, cont: DC offset: The transfer characteristic, vs (v IN1 v IN2 ), will not in general go through the origin, i.e., = A vd (v IN1 v IN2 ) V OFFSET 1V V OUT A vd = 2x µV V IN2 V IN1 V OUT In the example in the figure A vd is 2 x 10 6, and V OFFSET is 0.1 V. R 50nV 0.1V V IN2 V IN1 v IN R Input 1 Input 2 A vd 50! In a practice, an Op Amp will be used in a feedback circuit like the example shown to the left, and the value of with v IN = 0 will be quite small. For this example (in which A vd = 2 x 10 6, and V OFFSET = 0.1 V) is only 0.1 µv. In the D.P. you are asked for this value for your design. Clif Fonstad, 12/1/09 Lecture 22 Slide 3

4 Specialty pairings: Pushpull or Totem Pole Output Pairs A source follower output: Using a single source follower as the output stage must be biased with a relatively large drain current to achieve a large output voltage swing, which in turn dissipates a lot of quiescent power. 1.5 V 1.5 V v IN goes positive v IN Q 28 Load current is supplied through Q 28 as it turns on more strongly I BIAS goes positive R L v IN goes negative v IN As Q turns off I BIAS flows through load. Q Turns off I BIAS Negative swing limited to I BIAS R L R L The Problem 1.5 V 1.5 V Clif Fonstad, 12/1/09 Lecture 22 Slide 4

5 Specialty Pairings: The Pushpull or Totem Pole Output A stacked pair of complementary emitter or sourcefollowers Large input resistance Voltage gain near one Small output resistance Low quiescent power V V npn or nmos follower pnp or pmos follower v in V BEn v in V EBp Q n Q p v out R L v in V GSn v in V SGp Q n Q p v out R L V V Clif Fonstad, 12/1/09 Lecture 22 Slide 5

6 Specialty pairings: Pushpull or Totem Pole in Design Prob. Comments/Observations: The D.P. output stage involves four emitter follower building blocks arranged as two parallel cascades of two emitter follower stages each. Q 20 and Q 21 with joined sources at the output node is called a pushpull, or totem pole pair. v IN 1.5 V I BIAS2 Q Q Q 20 Q 21 50! They determine the output resistance of the amplifier. I BIAS3 Ideally the output stage voltage gain is V Clif Fonstad, 12/1/09 Lecture 22 Slide 6

7 Specialty pairings: Pushpull or Totem Pole in D.P., cont. Operation: The npn follower supplies current when the input goes positive to push the output up, while the pnp follower sinks current when the input goes negative to pull the output down. 1.5 V 1.5 V I BIAS2 Load current supplied through Q 20 v IN increases v IN Q 17 v BE20 v BE20 increases Q 20 increases 50! In parallel v IN decreaes v IN Q 18 v BE21 increases v EB21 I BIAS3 Q 21 decreases 50! Load current drawn out through Q 21 r out r out1 r out2 1.5 V r in r in1 r in2 1.5 V The input resistance, r out, is highest about zero output, and there it is the output resistance of the two follower stages in parallel. r in is lowest at this point, too, and is a parallel combination, also. Clif Fonstad, 12/1/09 (discussed in Lecture 21) Lecture 22 Slide 7

8 Specialty pairings: Pushpull or Totem Pole, cont. Voltage gain: The design problem uses a bipolar totem pole. The gain and linearity of this stage depend on the bias level of the totem pole. The gain is higher for with higher bias, but the power dissipation is also. v in V BE20 v in V EB V Q 20 Q V v out 50! To calculate the large signal transfer characteristic of the bipolar totem pole we begin with : ( ) = R L "i E 20 " i E 21 The emitter currents depend on (v IN ): ( i E 20 = "I E 20 e v IN " ) V t, i E 21 = I E 21 e " ( v IN " ) V t Putting this all together, and using I E21 = I E20, we have: ( v out = R L I E 20 e v in "v out ) V t " e " ( v in "v out ) V ( t ) = 2R L I E 20 sinh( v in " v out ) V t We can do a spreadsheet solution by picking a set of values for (v IN ), using the last equation to calculate the, using this to calculate v IN, and finally plotting vs v IN. The results are seen on the next slide. Clif Fonstad, 12/1/09 Lecture 22 Slide 8

9 Voltage gain, cont.: With a 50 Ω load and for several different bias levels we find: The gain and linearity are improved by increasing the bias current, but the cost is increased power dissipation. The A v is lowest and r out is highest at the bias point (i.e., V IN = V OUT = 0). r in to the stage is also lowest there. Clif Fonstad, 12/1/09 Lecture 22 Slide 9

10 Specialty pairings: Pushpull or Totem Pole in D.P., cont. r t 1.5 V Reviewing the voltage gain of an emitter follower: v t I BIAS Q 25 v out r l i in = i b v in r! "i b r o r obias r l v out = A v v in v out = (" 1)i b ( r l r o r Bias ) v in = i b r # (" 1)i b r l r o r Bias A v = v out v in = 1.5 V ( ) (" 1) ( r l r o r Bias ) ( )( r l r o r Bias ) r # " 1 (" 1)r $ l r # (" 1)r l Note: The voltage gains of the thirdstage emitter followers (Q 25 and Q 26 ) will likely be very close to one, but that of the stagefour followers might be noticeably less than one. Clif Fonstad, 12/1/09 Lecture 22 Slide 10

11 Specialty Pairings: The Cascode Commonsource stage followed by a common gate stage Large output resistance Good high frequency performance V C O Common Gate V GG v out External Load Common Source v in I BIAS C E V Clif Fonstad, 12/1/09 Lecture 22 Slide 11

12 Specialty Pairings: The Cascode, cont. v t TwoPort Analysis r t v in i in G i,cs G m,cs v in G i,cg G o,cs Common Source Common Gate i out v out G o,cg A i,cg i in g el G i,cs = 0, G m,cs = "g m,qcs, G o,cs = g o,qcs Cascode twoport: v in G i,cc G m,cc v in G o,cc v t r t i in G i,cg = g m,qcg, A i,cg =1, G o,cg " g o,qcs Cascode i out G i,cc = 0, G m,cc " #g m,qcs, G o,cc " g o,qcs v out g o,qcg g m,qcg g el g o,qcg g m,qcg Same G i and Gm of CS stage, with the very much larger Go of CG. Clif Fonstad, 12/1/09 Lecture 22 Slide 12

13 Specialty Pairings: The Cascode, cont. Cascode twoport: v in G i,cc G m,cc v in G o,cc v t r t i in Cascode i out v out g el G i,cc = 0, G m,cc " #g m,qcs, G o,cc " g o,qcs g m,qcg g o,qcg The equivalent Cascode transistor: The cascode twoport is that of a single MOSFET with the g m of the first transistor, and the output conductance of common gate. G D Q CC S g v gs v ds g mqcs v gs g oq cs g oq cg /g mqcg s,b d s,b Clif Fonstad, 12/1/09 Lecture 22 Slide 13

14 Specialty Pairings: The Cascode, cont. Cascode current mirrors: alternative connections Large differential output resistance 1.5 V Enhanced swing cascode 1.5 V Classic cascode Q 1 Q 2 Q 1 Q 2 Q 3 Q 4 Q 3 Q V v IN1 V REF2 Q 5 Q 6 v IN2 R L Wilson cascode Q 1 Q 3 Q 2 Q 4 V REF1 Q V The output resistances and load characteristics are identical, but the Wilson load is balanced better in bipolar applications, and the enhanced swing cascode has the largest output voltage swing of any of them. Clif Fonstad, 12/1/09 Lecture 22 Slide 14

15 Specialty pairings: Cascodes in a DPlike amplifier Q V V REF1 Q 2 Comments/Observations: This stage is essentially a normal sourcecoupled pair with a current mirror load, but there are differences.. Q 3 Q 5 V REF2 Q 4 Q 6 The first difference is that two driver transistors are cascode pairs. The second difference is that the current mirror load is also cascoded. v IN1 Q 7 Q V v IN2 The third difference is that the stage is not biased with a current source, but is instead biased by the first gain stage. Clif Fonstad, 12/1/09 Lecture 22 Slide 15

16 Specialty pairings: Cascodes in a DPlike amplifier, cont. 1.5 V 1.5 V Q 1 Q CC1 Q CC2 Q 2 V REF1 = v IN1 Q 3 Q 5 Q 7 Clif Fonstad, 12/1/09 V REF2 Q V Q 4 Q 6 v IN2 v IN1 Q CC1 = Q 1 /Q 3 Q CC2 = Q 2 /Q 4 Q CC3 = Q 7 /Q 5 Q CC4 = Q 8 /Q 6 Common sources Q CC3 Common gates Q CC4 1.5 V g m,cc v IN2 g o,cc Q CC1 g m1 g o1 g o3 gm3 Q CC2 g m2 g o2 g o4 gm4 Q CC3 g m7 g o7 g o5 gm5 Q CC4 g m8 g o8 g o6 gm6 Lecture 22 Slide 16

17 Specialty pairings: The Cascode, cont. The Folded Cascode: another variation 1.5 V Q 1 Q 2 Q 5 Q 3 Q 4 Q 6 Q 7 Q 8 A B Q 9 B Q V Clif Fonstad, 12/1/09 Lecture 22 Slide 17

18 Specialty pairings: The Darlington Connnection A bipolar pair stage used to get a large input resistance V Input resistance r in = 2" r # 2 = 2" 2 g m2 L O A D gload Output resistance r out =1 1.5g o2 g load g in Voltage gain ( ) v in Q 1 Q 2 v out gin A v $ v out v in = % g m17 ( ) 2 1.5g o2 g load g in I BIAS V Clif Fonstad, 12/1/09 Lecture 22 Slide 18

19 Multistage amplifier analysis and design: The µa741 The circuit: a full schematic Clif Fonstad, 12/1/09 Lecture 22 Slide 19 Source unknown. All rights reserved. This content is excluded from our Creative Commons license. For more information, see

20 Multistage amplifier analysis and design: The µa741 Figuring the circuit out: Emitterfollower/ commonbase "cascode" differential gain stage EF CB The full schematic Current mirror load Darlington common emitter gain stage Pushpull output Simplified schematic Another interesting discussion of the µa741: Clif Fonstad, 12/1/09 Lecture 22 Slide 20 Source unknown. All rights reserved. This content is excluded from our Creative Commons license. For more information, see

21 Multistage amplifier analysis and design: The µa741 The chip: a bipolar IC Capacitor Resistors Transistors Bonding pads Clif Fonstad, 12/1/09 Lecture 22 Slide 21 Source unknown. All rights reserved. This content is excluded from our Creative Commons license. For more information, see

22 Midband, cont: The midband range of frequencies In this range of frequencies the gain is a constant, and the phase shift between the input and output is also constant (either 0 or 180 ). log A vd Midband Range! LO! LO *! HI *! HI log!! b! a! d! c! 4! 5! 2! 1! 3 All of the parasitic and intrinsic device capacitances are effectively open circuits All of the biasing and coupling capacitors are effectively short circuits Clif Fonstad, 12/1/09 Lecture 22 Slide 23

23 Bounding midband: frequency range of constant gain and phase Common Source v in I BIAS V V C O v out C E v t Biasing capacitors: typically in mf range (C O, C S, etc.) effectively shorts above ω LO Device capacitors: typically in pf range (C gs, C gd, etc.) effectively open until ω HI Midband frequencies fall between: ω LO < ω < ω HI r t v t r t g v in = v gs g m v gs g o s,b v in g v gs C gs g m v gs g o s,b C gd g ob d s,b C S v out d g l v out LEC for common source stage with all the capacitors Common emitter LEC for in midband range Note: g l = g sl g el What are ω LO and ω HI? Clif Fonstad, 12/1/09 Lecture 22 Slide 24 g sl C O g el

24 Estimating ω HI Open Circuit Time Constants Method Open circuit time constants (OCTC) recipe: 1. Pick one C gd, C gs, C µ, C π, etc. (call it C 1 ) and assume all others are open circuits. 2. Find the resistance in parallel with C 1 and call it R Calculate 1/R 1 C 1 and call it ω Repeat this for each of the N different C gd 's, C gs 's, C µ 's, C π 's, etc., in the circuit finding ω 1, ω 2, ω 3,, ω N. 5. Define ω HI * as the inverse of the sum of the inverses of the N ω i 's: ω HI * = [Σ(ω i ) 1 ] 1 = [ΣR i C i ] 1 6. The true ω HI is similar to, but greater than, ω HI *. Observations: The OCTC method gives a conservative, low estimate for ω HI. The sum of inverses favors the smallest ω i, and thus the capacitor with the largest RC product dominates ω HI *. Clif Fonstad, 12/1/09 Lecture 22 Slide 25

25 Estimating ω LO Short Circuit Time Constants Method Short circuit time constants (SCTC) recipe: 1. Pick one C O, C I, C E, etc. (call it C 1 ) and assume all others are short circuits. 2. Find the resistance in parallel with C 1 and call it R Calculate 1/R 1 C 1 and call it ω Repeat this for each of the M different C I 's, C O 's, C E 's, C S 's, etc., in the circuit finding ω 1, ω 2, ω 3,, ω M. 5. Define ω LO * as the sum of the M ω j 's: ω LO * = [Σ(ω j )] = [Σ(R j C j ) 1 ] 6. The true ω LO is similar to, but less than, ω LO *. Observations: The SCTC method gives a conservative, high estimate for ω LO. The sum of inverses favors the largest ω j, and thus the capacitor with the smallest RC product dominates ω LO *. Clif Fonstad, 12/1/09 Lecture 22 Slide 26

26 log A vd Summary of OCTC and SCTC results Midband Range! LO! LO *! HI *! HI log!! b! a! d! c! 4! 5! 2! 1! 3 OCTC: an estimate for ω HI 1. ω HI * is a weighted sum of ω's associated with device capacitances: (add RC's and invert) 2. Smallest ω (largest RC) dominates ω HI * 3. Provides a lower bound on ω HI SCTC: an estimate for ω LO 1. ω LO * is a weighted sum of w's associated with bias capacitors: (add ω's directly) 2. Largest ω (smallest RC) dominates ω LO * 3. Provides a upper bound on ω LO Clif Fonstad, 12/1/09 Lecture 22 Slide 27

27 6.012 Microelectronic Devices and Circuits Lecture 22 DiffAmp Analysis II Summary Design Problem Issues Q13, Q13'; voltage gains Specialty stages useful pairings Source coupled pairs: MOS Pushpull output: Two followers in vertical chain Very low output resistance Shared duties for positive and negative output swings Cascode: Commonsource/emitter performance Greatly enhanced output resistance Find greatly enhanced high frequency performance also Darlington: Increased input resistance ona bipolar stage µa 741: A workhorse IC showing all of these pairs Bounding midband Open Circuit Time Constant Method: An estimate of ω HI Short Circuit Time Constant Method: An estimate of ω LO Clif Fonstad, 12/1/09 Lecture 22 Slide 28

28 MIT OpenCourseWare Microelectronic Devices and Circuits Fall 2009 For information about citing these materials or our Terms of Use, visit:

Lecture 33: Context. Prof. J. S. Smith

Lecture 33: Context. Prof. J. S. Smith Lecture 33: Prof J. S. Smith Context We are continuing to review some of the building blocks for multi-stage amplifiers, including current sources and cascode connected devices, and we will also look at

More information

Reading. Lecture 33: Context. Lecture Outline. Chapter 9, multi-stage amplifiers. Prof. J. S. Smith

Reading. Lecture 33: Context. Lecture Outline. Chapter 9, multi-stage amplifiers. Prof. J. S. Smith eading Lecture 33: Chapter 9, multi-stage amplifiers Prof J. S. Smith Context Lecture Outline We are continuing to review some of the building blocks for multi-stage amplifiers, including current sources

More information

Chapter 15 Goals. ac-coupled Amplifiers Example of a Three-Stage Amplifier

Chapter 15 Goals. ac-coupled Amplifiers Example of a Three-Stage Amplifier Chapter 15 Goals ac-coupled multistage amplifiers including voltage gain, input and output resistances, and small-signal limitations. dc-coupled multistage amplifiers. Darlington configuration and cascode

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science Microelectronic Devices and Circuits Fall 2009

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science Microelectronic Devices and Circuits Fall 2009 1 MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science 6.012 Microelectronic Devices and Circuits Fall 2009 SPECIAL PROBLEM ON CIRCUIT DESIGN 12/1/09 edition

More information

Electronic Devices and Circuits Lecture 20 - Linear Amp. Analysis and Design I - Outline Announcements. )/2 [v IN1.

Electronic Devices and Circuits Lecture 20 - Linear Amp. Analysis and Design I - Outline Announcements. )/2 [v IN1. 6.012 Electronic Devices and Circuits Lecture 20 Linear Amp. Analysis and Design I Outline Announcements Handouts Lecture Outline and Summary Announcements Design Problem due in under two weeks Review

More information

Radivoje Đurić, 2015, Analogna Integrisana Kola 1

Radivoje Đurić, 2015, Analogna Integrisana Kola 1 OTA-output buffer 1 According to the types of loads, the driving capability of the output stages differs. For switched capacitor circuits which have high impedance capacitive loads, class A output stage

More information

Lecture 34: Designing amplifiers, biasing, frequency response. Context

Lecture 34: Designing amplifiers, biasing, frequency response. Context Lecture 34: Designing amplifiers, biasing, frequency response Prof J. S. Smith Context We will figure out more of the design parameters for the amplifier we looked at in the last lecture, and then we will

More information

Lecture 21: Voltage/Current Buffer Freq Response

Lecture 21: Voltage/Current Buffer Freq Response Lecture 21: Voltage/Current Buffer Freq Response Prof. Niknejad Lecture Outline Last Time: Frequency Response of Voltage Buffer Frequency Response of Current Buffer Current Mirrors Biasing Schemes Detailed

More information

Improving Amplifier Voltage Gain

Improving Amplifier Voltage Gain 15.1 Multistage ac-coupled Amplifiers 1077 TABLE 15.3 Three-Stage Amplifier Summary HAND ANALYSIS SPICE RESULTS Voltage gain 998 1010 Input signal range 92.7 V Input resistance 1 M 1M Output resistance

More information

Advanced Operational Amplifiers

Advanced Operational Amplifiers IsLab Analog Integrated Circuit Design OPA2-47 Advanced Operational Amplifiers כ Kyungpook National University IsLab Analog Integrated Circuit Design OPA2-1 Advanced Current Mirrors and Opamps Two-stage

More information

Solid State Devices & Circuits. 18. Advanced Techniques

Solid State Devices & Circuits. 18. Advanced Techniques ECE 442 Solid State Devices & Circuits 18. Advanced Techniques Jose E. Schutt-Aine Electrical l&c Computer Engineering i University of Illinois jschutt@emlab.uiuc.edu 1 Darlington Configuration - Popular

More information

Basic Circuits. Current Mirror, Gain stage, Source Follower, Cascode, Differential Pair,

Basic Circuits. Current Mirror, Gain stage, Source Follower, Cascode, Differential Pair, Basic Circuits Current Mirror, Gain stage, Source Follower, Cascode, Differential Pair, CCS - Basic Circuits P. Fischer, ZITI, Uni Heidelberg, Seite 1 Reminder: Effect of Transistor Sizes Very crude classification:

More information

Multistage Amplifiers

Multistage Amplifiers Multistage Amplifiers Single-stage transistor amplifiers are inadequate for meeting most design requirements for any of the four amplifier types (voltage, current, transconductance, and transresistance.)

More information

Index. Small-Signal Models, 14 saturation current, 3, 5 Transistor Cutoff Frequency, 18 transconductance, 16, 22 transit time, 10

Index. Small-Signal Models, 14 saturation current, 3, 5 Transistor Cutoff Frequency, 18 transconductance, 16, 22 transit time, 10 Index A absolute value, 308 additional pole, 271 analog multiplier, 190 B BiCMOS,107 Bode plot, 266 base-emitter voltage, 16, 50 base-emitter voltages, 296 bias current, 111, 124, 133, 137, 166, 185 bipolar

More information

Analog Integrated Circuit Design Exercise 1

Analog Integrated Circuit Design Exercise 1 Analog Integrated Circuit Design Exercise 1 Integrated Electronic Systems Lab Prof. Dr.-Ing. Klaus Hofmann M.Sc. Katrin Hirmer, M.Sc. Sreekesh Lakshminarayanan Status: 21.10.2015 Pre-Assignments The lecture

More information

Chapter 12 Opertational Amplifier Circuits

Chapter 12 Opertational Amplifier Circuits 1 Chapter 12 Opertational Amplifier Circuits Learning Objectives 1) The design and analysis of the two basic CMOS op-amp architectures: the two-stage circuit and the single-stage, folded cascode circuit.

More information

EE105 Fall 2015 Microelectronic Devices and Circuits

EE105 Fall 2015 Microelectronic Devices and Circuits EE105 Fall 2015 Microelectronic Devices and Circuits Multi-Stage Amplifiers Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) Terminal Gain and I/O Resistances of MOS Amplifiers Common

More information

The Miller Approximation. CE Frequency Response. The exact analysis is worked out on pp of H&S.

The Miller Approximation. CE Frequency Response. The exact analysis is worked out on pp of H&S. CE Frequency Response The exact analysis is worked out on pp. 639-64 of H&S. The Miller Approximation Therefore, we consider the effect of C µ on the input node only V ---------- out V s = r g π m ------------------

More information

ES 330 Electronics II Fall 2016

ES 330 Electronics II Fall 2016 ES 330 Electronics II Fall 2016 Sect Lectures Location Instructor Office Office Hours Email Tel 001 001 9:00 am to 9:50 am Wednesday 10:00 am to 10 :50 am 2001 2001 Dr. Donald Estreich Dr. Donald Estreich

More information

Homework Assignment 12

Homework Assignment 12 Homework Assignment 12 Question 1 Shown the is Bode plot of the magnitude of the gain transfer function of a constant GBP amplifier. By how much will the amplifier delay a sine wave with the following

More information

Gechstudentszone.wordpress.com

Gechstudentszone.wordpress.com UNIT 4: Small Signal Analysis of Amplifiers 4.1 Basic FET Amplifiers In the last chapter, we described the operation of the FET, in particular the MOSFET, and analyzed and designed the dc response of circuits

More information

Week 12: Output Stages, Frequency Response

Week 12: Output Stages, Frequency Response ELE 2110A Electronic Circuits Week 12: Output Stages, Frequency esponse (2 hours only) Lecture 12-1 Output Stages Topics to cover Amplifier Frequency esponse eading Assignment: Chap 15.3, 16.1 of Jaeger

More information

Chapter 7 Building Blocks of Integrated Circuit Amplifiers: Part D: Advanced Current Mirrors

Chapter 7 Building Blocks of Integrated Circuit Amplifiers: Part D: Advanced Current Mirrors 1 Chapter 7 Building Blocks of Integrated Circuit Amplifiers: Part D: Advanced Current Mirrors Current Mirror Example 2 Two Stage Op Amp (MOSFET) Current Mirror Example Three Stage 741 Opamp (BJT) 3 4

More information

SAMPLE FINAL EXAMINATION FALL TERM

SAMPLE FINAL EXAMINATION FALL TERM ENGINEERING SCIENCES 154 ELECTRONIC DEVICES AND CIRCUITS SAMPLE FINAL EXAMINATION FALL TERM 2001-2002 NAME Some Possible Solutions a. Please answer all of the questions in the spaces provided. If you need

More information

Analog Integrated Circuit Configurations

Analog Integrated Circuit Configurations Analog Integrated Circuit Configurations Basic stages: differential pairs, current biasing, mirrors, etc. Approximate analysis for initial design MOSFET and Bipolar circuits Basic Current Bias Sources

More information

Lecture 21 - Multistage Amplifiers (I) Multistage Amplifiers. November 22, 2005

Lecture 21 - Multistage Amplifiers (I) Multistage Amplifiers. November 22, 2005 6.02 Microelectronic Devices and Circuits Fall 2005 Lecture 2 Lecture 2 Multistage Amplifiers (I) Multistage Amplifiers November 22, 2005 Contents:. Introduction 2. CMOS multistage voltage amplifier 3.

More information

LECTURE 19 DIFFERENTIAL AMPLIFIER

LECTURE 19 DIFFERENTIAL AMPLIFIER Lecture 19 Differential Amplifier (6/4/14) Page 191 LECTURE 19 DIFFERENTIAL AMPLIFIER LECTURE ORGANIZATION Outline Characterization of a differential amplifier Differential amplifier with a current mirror

More information

ESE319 Introduction to Microelectronics High Frequency BJT Model & Cascode BJT Amplifier

ESE319 Introduction to Microelectronics High Frequency BJT Model & Cascode BJT Amplifier High Frequency BJT Model & Cascode BJT Amplifier 1 Gain of 10 Amplifier Non-ideal Transistor C in R 1 V CC R 2 v s Gain starts dropping at > 1MHz. Why! Because of internal transistor capacitances that

More information

Current Supply Topology. CMOS Cascode Transconductance Amplifier. Basic topology. p-channel cascode current supply is an obvious solution

Current Supply Topology. CMOS Cascode Transconductance Amplifier. Basic topology. p-channel cascode current supply is an obvious solution CMOS Cascode Transconductance Amplifier Basic topology. Current Supply Topology p-channel cascode current supply is an obvious solution Current supply must have a very high source resistance r oc since

More information

Lecture 030 ECE4430 Review III (1/9/04) Page 030-1

Lecture 030 ECE4430 Review III (1/9/04) Page 030-1 Lecture 030 ECE4430 Review III (1/9/04) Page 0301 LECTURE 030 ECE 4430 REVIEW III (READING: GHLM Chaps. 3 and 4) Objective The objective of this presentation is: 1.) Identify the prerequisite material

More information

Code: 9A Answer any FIVE questions All questions carry equal marks *****

Code: 9A Answer any FIVE questions All questions carry equal marks ***** II B. Tech II Semester (R09) Regular & Supplementary Examinations, April/May 2012 ELECTRONIC CIRCUIT ANALYSIS (Common to EIE, E. Con. E & ECE) Time: 3 hours Max Marks: 70 Answer any FIVE questions All

More information

ECE 442 Solid State Devices & Circuits. 15. Differential Amplifiers

ECE 442 Solid State Devices & Circuits. 15. Differential Amplifiers ECE 442 Solid State Devices & Circuits 15. Differential Amplifiers Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jschutt@emlab.uiuc.edu ECE 442 Jose Schutt Aine 1 Background

More information

CMOS Cascode Transconductance Amplifier

CMOS Cascode Transconductance Amplifier CMOS Cascode Transconductance Amplifier Basic topology. 5 V I SUP v s V G2 M 2 iout C L v OUT Device Data V Tn = 1 V V Tp = 1 V µ n C ox = 50 µa/v 2 µ p C ox = 25 µa/v 2 λ n = 0.05 V 1 λ p = 0.02 V 1 @

More information

Building Blocks of Integrated-Circuit Amplifiers

Building Blocks of Integrated-Circuit Amplifiers CHAPTER 7 Building Blocks of Integrated-Circuit Amplifiers Introduction 7. 493 IC Design Philosophy 7. The Basic Gain Cell 494 495 7.3 The Cascode Amplifier 506 7.4 IC Biasing Current Sources, Current

More information

Hello, and welcome to the TI Precision Labs video series discussing comparator applications. The comparator s job is to compare two analog input

Hello, and welcome to the TI Precision Labs video series discussing comparator applications. The comparator s job is to compare two analog input Hello, and welcome to the TI Precision Labs video series discussing comparator applications. The comparator s job is to compare two analog input signals and produce a digital or logic level output based

More information

6.776 High Speed Communication Circuits Lecture 7 High Freqeuncy, Broadband Amplifiers

6.776 High Speed Communication Circuits Lecture 7 High Freqeuncy, Broadband Amplifiers 6.776 High Speed Communication Circuits Lecture 7 High Freqeuncy, Broadband Amplifiers Massachusetts Institute of Technology February 24, 2005 Copyright 2005 by Hae-Seung Lee and Michael H. Perrott High

More information

Amplifiers Frequency Response Examples

Amplifiers Frequency Response Examples ECE 5/45 Analog IC Design We will use the following MOSFET parameters for hand-calculations and the µm CMOS models for corresponding simulations. Table : Long-channel MOSFET parameters. Parameter NMOS

More information

BJT Circuits (MCQs of Moderate Complexity)

BJT Circuits (MCQs of Moderate Complexity) BJT Circuits (MCQs of Moderate Complexity) 1. The current ib through base of a silicon npn transistor is 1+0.1 cos (1000πt) ma. At 300K, the rπ in the small signal model of the transistor is i b B C r

More information

Mini Project 3 Multi-Transistor Amplifiers. ELEC 301 University of British Columbia

Mini Project 3 Multi-Transistor Amplifiers. ELEC 301 University of British Columbia Mini Project 3 Multi-Transistor Amplifiers ELEC 30 University of British Columbia 4463854 November 0, 207 Contents 0 Introduction Part : Cascode Amplifier. A - DC Operating Point.......................................

More information

Design and Simulation of Low Voltage Operational Amplifier

Design and Simulation of Low Voltage Operational Amplifier Design and Simulation of Low Voltage Operational Amplifier Zach Nelson Department of Electrical Engineering, University of Nevada, Las Vegas 4505 S Maryland Pkwy, Las Vegas, NV 89154 United States of America

More information

DC Coupling: General Trends

DC Coupling: General Trends DC Coupling: General Trends * Goal: want both input and output to be centered at halfway between the positive and negative supplies (or ground, for a single supply) -- in order to have maximum possible

More information

UNIT I BIASING OF DISCRETE BJT AND MOSFET PART A

UNIT I BIASING OF DISCRETE BJT AND MOSFET PART A UNIT I BIASING OF DISCRETE BJT AND MOSFET PART A 1. Why do we choose Q point at the center of the load line? 2. Name the two techniques used in the stability of the q point.explain. 3. Give the expression

More information

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS Fourth Edition PAUL R. GRAY University of California, Berkeley PAUL J. HURST University of California, Davis STEPHEN H. LEWIS University of California,

More information

Design of High-Speed Op-Amps for Signal Processing

Design of High-Speed Op-Amps for Signal Processing Design of High-Speed Op-Amps for Signal Processing R. Jacob (Jake) Baker, PhD, PE Professor and Chair Boise State University 1910 University Dr. Boise, ID 83725-2075 jbaker@ieee.org Abstract - As CMOS

More information

4.2.2 Metal Oxide Semiconductor Field Effect Transistor (MOSFET)

4.2.2 Metal Oxide Semiconductor Field Effect Transistor (MOSFET) 4.2.2 Metal Oxide Semiconductor Field Effect Transistor (MOSFET) The Metal Oxide Semitonductor Field Effect Transistor (MOSFET) has two modes of operation, the depletion mode, and the enhancement mode.

More information

d. Can you find intrinsic gain more easily by examining the equation for current? Explain.

d. Can you find intrinsic gain more easily by examining the equation for current? Explain. EECS140 Final Spring 2017 Name SID 1. [8] In a vacuum tube, the plate (or anode) current is a function of the plate voltage (output) and the grid voltage (input). I P = k(v P + µv G ) 3/2 where µ is a

More information

55:041 Electronic Circuits The University of Iowa Fall Exam 3. Question 1 Unless stated otherwise, each question below is 1 point.

55:041 Electronic Circuits The University of Iowa Fall Exam 3. Question 1 Unless stated otherwise, each question below is 1 point. Exam 3 Name: Score /65 Question 1 Unless stated otherwise, each question below is 1 point. 1. An engineer designs a class-ab amplifier to deliver 2 W (sinusoidal) signal power to an resistive load. Ignoring

More information

INTRODUCTION TO ELECTRONICS EHB 222E

INTRODUCTION TO ELECTRONICS EHB 222E INTRODUCTION TO ELECTRONICS EHB 222E MOS Field Effect Transistors (MOSFETS II) MOSFETS 1/ INTRODUCTION TO ELECTRONICS 1 MOSFETS Amplifiers Cut off when v GS < V t v DS decreases starting point A, once

More information

F9 Differential and Multistage Amplifiers

F9 Differential and Multistage Amplifiers Lars Ohlsson 018-10-0 F9 Differential and Multistage Amplifiers Outline MOS differential pair Common mode signal operation Differential mode signal operation Large signal operation Small signal operation

More information

Lecture 13 - Digital Circuits (II) MOS Inverter Circuits. October 25, 2005

Lecture 13 - Digital Circuits (II) MOS Inverter Circuits. October 25, 2005 6.12 - Microelectronic Devices and Circuits - Fall 25 Lecture 13-1 Lecture 13 - Digital Circuits (II) MOS Inverter Circuits October 25, 25 Contents: 1. NMOS inverter with resistor pull-up (cont.) 2. NMOS

More information

Chapter 5. Operational Amplifiers and Source Followers. 5.1 Operational Amplifier

Chapter 5. Operational Amplifiers and Source Followers. 5.1 Operational Amplifier Chapter 5 Operational Amplifiers and Source Followers 5.1 Operational Amplifier In single ended operation the output is measured with respect to a fixed potential, usually ground, whereas in double-ended

More information

Lecture 25 - Frequency Response of Amplifiers (III) Other Amplifier Stages. December 8, 2005

Lecture 25 - Frequency Response of Amplifiers (III) Other Amplifier Stages. December 8, 2005 6.012 Microelectronic Devices and Circuits Fall 2005 Lecture 251 Lecture 25 Frequency Response of Amplifiers (III) Other Amplifier Stages December 8, 2005 Contents: 1. Frequency response of commondrain

More information

Lecture 20 Transistor Amplifiers (II) Other Amplifier Stages

Lecture 20 Transistor Amplifiers (II) Other Amplifier Stages Lecture 20 Transistor Amplifiers (II) Other Amplifier Stages Outline Common drain amplifier Common gate amplifier Reading Assignment: Howe and Sodini; Chapter 8, Sections 8.78.9 6.02 Spring 2009 . Common

More information

BJT Amplifier. Superposition principle (linear amplifier)

BJT Amplifier. Superposition principle (linear amplifier) BJT Amplifier Two types analysis DC analysis Applied DC voltage source AC analysis Time varying signal source Superposition principle (linear amplifier) The response of a linear amplifier circuit excited

More information

TWO AND ONE STAGES OTA

TWO AND ONE STAGES OTA TWO AND ONE STAGES OTA F. Maloberti Department of Electronics Integrated Microsystem Group University of Pavia, 7100 Pavia, Italy franco@ele.unipv.it tel. +39-38-50505; fax. +39-038-505677 474 EE Department

More information

Analysis and Design of Analog Integrated Circuits Lecture 20. Advanced Opamp Topologies (Part II)

Analysis and Design of Analog Integrated Circuits Lecture 20. Advanced Opamp Topologies (Part II) Analysis and Design of Analog Integrated Circuits Lecture 20 Advanced Opamp Topologies (Part II) Michael H. Perrott April 15, 2012 Copyright 2012 by Michael H. Perrott All rights reserved. Outline of Lecture

More information

Microelectronic Circuits II. Ch 10 : Operational-Amplifier Circuits

Microelectronic Circuits II. Ch 10 : Operational-Amplifier Circuits Microelectronic Circuits II Ch 0 : Operational-Amplifier Circuits 0. The Two-stage CMOS Op Amp 0.2 The Folded-Cascode CMOS Op Amp CNU EE 0.- Operational-Amplifier Introduction - Analog ICs : operational

More information

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS Fourth Edition PAUL R. GRAY University of California, Berkeley PAUL J. HURST University of California, Davis STEPHEN H. LEWIS University of California,

More information

Electronic Devices. Floyd. Chapter 9. Ninth Edition. Electronic Devices, 9th edition Thomas L. Floyd

Electronic Devices. Floyd. Chapter 9. Ninth Edition. Electronic Devices, 9th edition Thomas L. Floyd Electronic Devices Ninth Edition Floyd Chapter 9 The Common-Source Amplifier In a CS amplifier, the input signal is applied to the gate and the output signal is taken from the drain. The amplifier has

More information

55:041 Electronic Circuits

55:041 Electronic Circuits 55:041 Electronic Circuits MOSFETs Sections of Chapter 3 &4 A. Kruger MOSFETs, Page-1 Basic Structure of MOS Capacitor Sect. 3.1 Width = 1 10-6 m or less Thickness = 50 10-9 m or less ` MOS Metal-Oxide-Semiconductor

More information

Chapter 4 Single-stage MOS amplifiers

Chapter 4 Single-stage MOS amplifiers Chapter 4 Single-stage MOS amplifiers ELEC-H402/CH4: Single-stage MOS amplifiers 1 Single-stage MOS amplifiers NMOS as an amplifier: example of common-source circuit NMOS amplifier example Introduction

More information

EEE225: Analogue and Digital Electronics

EEE225: Analogue and Digital Electronics EEE225: Analogue and Digital Electronics Lecture II James E. Green Department of Electronic Engineering University of Sheffield j.e.green@sheffield.ac.uk This Lecture 1 One Transistor Circuits Continued...

More information

INF3410 Fall Book Chapter 6: Basic Opamp Design and Compensation

INF3410 Fall Book Chapter 6: Basic Opamp Design and Compensation INF3410 Fall 2015 Book Chapter 6: Basic Opamp Design and Compensation content Introduction Two Stage Opamps Compensation Slew Rate Systematic Offset Advanced Current Mirrors Operational Transconductance

More information

Current Mirrors. Basic BJT Current Mirror. Current mirrors are basic building blocks of analog design. Figure shows the basic NPN current mirror.

Current Mirrors. Basic BJT Current Mirror. Current mirrors are basic building blocks of analog design. Figure shows the basic NPN current mirror. Current Mirrors Basic BJT Current Mirror Current mirrors are basic building blocks of analog design. Figure shows the basic NPN current mirror. For its analysis, we assume identical transistors and neglect

More information

6.012 Microelectronic Devices and Circuits

6.012 Microelectronic Devices and Circuits Page 1 of 13 YOUR NAME Department of Electrical Engineering and Computer Science Massachusetts Institute of Technology 6.012 Microelectronic Devices and Circuits Final Eam Closed Book: Formula sheet provided;

More information

QUESTION BANK for Analog Electronics 4EC111 *

QUESTION BANK for Analog Electronics 4EC111 * OpenStax-CNX module: m54983 1 QUESTION BANK for Analog Electronics 4EC111 * Bijay_Kumar Sharma This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 4.0 Abstract

More information

Lecture 3: Transistors

Lecture 3: Transistors Lecture 3: Transistors Now that we know about diodes, let s put two of them together, as follows: collector base emitter n p n moderately doped lightly doped, and very thin heavily doped At first glance,

More information

EE301 Electronics I , Fall

EE301 Electronics I , Fall EE301 Electronics I 2018-2019, Fall 1. Introduction to Microelectronics (1 Week/3 Hrs.) Introduction, Historical Background, Basic Consepts 2. Rewiev of Semiconductors (1 Week/3 Hrs.) Semiconductor materials

More information

Lecture 240 Cascode Op Amps (3/28/10) Page 240-1

Lecture 240 Cascode Op Amps (3/28/10) Page 240-1 Lecture 240 Cascode Op Amps (3/28/10) Page 2401 LECTURE 240 CASCODE OP AMPS LECTURE ORGANIZATION Outline Lecture Organization Single Stage Cascode Op Amps Two Stage Cascode Op Amps Summary CMOS Analog

More information

Lecture 20 Transistor Amplifiers (II) Other Amplifier Stages. November 17, 2005

Lecture 20 Transistor Amplifiers (II) Other Amplifier Stages. November 17, 2005 6.012 Microelectronic Devices and Circuits Fall 2005 Lecture 20 1 Lecture 20 Transistor Amplifiers (II) Other Amplifier Stages November 17, 2005 Contents: 1. Common source amplifier (cont.) 2. Common drain

More information

Chapter 13 Output Stages and Power Amplifiers

Chapter 13 Output Stages and Power Amplifiers Chapter 13 Output Stages and Power Amplifiers 13.1 General Considerations 13.2 Emitter Follower as Power Amplifier 13.3 Push-Pull Stage 13.4 Improved Push-Pull Stage 13.5 Large-Signal Considerations 13.6

More information

Current Mirrors. Prof. Tai-Haur Kuo, EE, NCKU, Tainan City, Taiwan 4-1

Current Mirrors. Prof. Tai-Haur Kuo, EE, NCKU, Tainan City, Taiwan 4-1 Current Mirrors Prof. Tai-Haur Kuo, EE, NCKU, Tainan City, Taiwan 4- 郭泰豪, Analog C Design, 08 { Prof. Tai-Haur Kuo, EE, NCKU, Tainan City, Taiwan 4- 郭泰豪, Analog C Design, 08 { Current Source and Sink Symbol

More information

Chapter 8 Differential and Multistage Amplifiers

Chapter 8 Differential and Multistage Amplifiers 1 Chapter 8 Differential and Multistage Amplifiers Operational Amplifier Circuit Components 2 1. Ch 7: Current Mirrors and Biasing 2. Ch 9: Frequency Response 3. Ch 8: Active-Loaded Differential Pair 4.

More information

UNIT-1 Bipolar Junction Transistors. Text Book:, Microelectronic Circuits 6 ed., by Sedra and Smith, Oxford Press

UNIT-1 Bipolar Junction Transistors. Text Book:, Microelectronic Circuits 6 ed., by Sedra and Smith, Oxford Press UNIT-1 Bipolar Junction Transistors Text Book:, Microelectronic Circuits 6 ed., by Sedra and Smith, Oxford Press Figure 6.1 A simplified structure of the npn transistor. Microelectronic Circuits, Sixth

More information

ECE315 / ECE515 Lecture 7 Date:

ECE315 / ECE515 Lecture 7 Date: Lecture 7 ate: 01.09.2016 CG Amplifier Examples Biasing in MOS Amplifier Circuits Common Gate (CG) Amplifier CG Amplifier- nput is applied at the Source and the output is sensed at the rain. The Gate terminal

More information

ECE315 / ECE515 Lecture 8 Date:

ECE315 / ECE515 Lecture 8 Date: ECE35 / ECE55 Lecture 8 Date: 05.09.06 CS Amplifier with Constant Current Source Current Steering Circuits CS Stage Followed by CG Stage Cascode as Current Source Cascode as Amplifier ECE35 / ECE55 CS

More information

Analysis and Design of Analog Integrated Circuits Lecture 8. Cascode Techniques

Analysis and Design of Analog Integrated Circuits Lecture 8. Cascode Techniques Analysis and Design of Analog Integrated Circuits Lecture 8 Cascode Techniques Michael H. Perrott February 15, 2012 Copyright 2012 by Michael H. Perrott All rights reserved. Review of Large Signal Analysis

More information

CSE 577 Spring Insoo Kim, Kyusun Choi Mixed Signal CHIP Design Lab. Department of Computer Science & Engineering The Penn State University

CSE 577 Spring Insoo Kim, Kyusun Choi Mixed Signal CHIP Design Lab. Department of Computer Science & Engineering The Penn State University CSE 577 Spring 2011 Basic Amplifiers and Differential Amplifier, Kyusun Choi Mixed Signal CHIP Design Lab. Department of Computer Science & Engineering The Penn State University Don t let the computer

More information

ECEN 474/704 Lab 5: Frequency Response of Inverting Amplifiers

ECEN 474/704 Lab 5: Frequency Response of Inverting Amplifiers ECEN 474/704 Lab 5: Frequency Response of Inverting Amplifiers Objective Design, simulate and layout various inverting amplifiers. Introduction Inverting amplifiers are fundamental building blocks of electronic

More information

Output Stage and Power Amplifiers

Output Stage and Power Amplifiers Microelectronic Circuits Output Stage and ower Amplifiers Slide 1 ntroduction Most of the challenging requirement in the design of the output stage is ower delivery to the load. ower consumption at the

More information

1. The fundamental current mirror with MOS transistors

1. The fundamental current mirror with MOS transistors 1. The fundamental current mirror with MOS transistors The test schematic (ogl-simpla-mos.asc): 1. Size the transistors in the mirror for a current gain equal to unity, a 30μA input current and V DSat

More information

INF3410 Fall Book Chapter 6: Basic Opamp Design and Compensation

INF3410 Fall Book Chapter 6: Basic Opamp Design and Compensation INF3410 Fall 2013 Compensation content Introduction Two Stage Opamps Compensation Slew Rate Systematic Offset Advanced Current Mirrors Operational Transconductance Amplifiers Current Mirror Opamps Folded

More information

Linear electronic. Lecture No. 1

Linear electronic. Lecture No. 1 1 Lecture No. 1 2 3 4 5 Lecture No. 2 6 7 8 9 10 11 Lecture No. 3 12 13 14 Lecture No. 4 Example: find Frequency response analysis for the circuit shown in figure below. Where R S =4kR B1 =8kR B2 =4k R

More information

Microelectronic Devices and Circuits- EECS105 Final Exam

Microelectronic Devices and Circuits- EECS105 Final Exam EECS105 1 of 13 Fall 2000 Microelectronic Devices and Circuits- EECS105 Final Exam Wednesday, December 13, 2000 Costas J. Spanos University of California at Berkeley College of Engineering Department of

More information

Experiment #7 MOSFET Dynamic Circuits II

Experiment #7 MOSFET Dynamic Circuits II Experiment #7 MOSFET Dynamic Circuits II Jonathan Roderick Introduction The previous experiment introduced the canonic cells for MOSFETs. The small signal model was presented and was used to discuss the

More information

Experiment 10 Current Sources and Voltage Sources

Experiment 10 Current Sources and Voltage Sources Experiment 10 Current Sources and Voltage Sources W.T. Yeung and R.T. Howe UC Berkeley EE 105 Fall 2003 1.0 Objective This experiment will introduce techniques for current source biasing. Several different

More information

6.976 High Speed Communication Circuits and Systems Lecture 5 High Speed, Broadband Amplifiers

6.976 High Speed Communication Circuits and Systems Lecture 5 High Speed, Broadband Amplifiers 6.976 High Speed Communication Circuits and Systems Lecture 5 High Speed, Broadband Amplifiers Michael Perrott Massachusetts Institute of Technology Copyright 2003 by Michael H. Perrott Broadband Communication

More information

Single-Stage Integrated- Circuit Amplifiers

Single-Stage Integrated- Circuit Amplifiers Single-Stage Integrated- Circuit Amplifiers Outline Comparison between the MOS and the BJT From discrete circuit to integrated circuit - Philosophy, Biasing, etc. Frequency response The Common-Source and

More information

Electronic Devices. Floyd. Chapter 6. Ninth Edition. Electronic Devices, 9th edition Thomas L. Floyd

Electronic Devices. Floyd. Chapter 6. Ninth Edition. Electronic Devices, 9th edition Thomas L. Floyd Electronic Devices Ninth Edition Floyd Chapter 6 Agenda BJT AC Analysis Linear Amplifier AC Load Line Transistor AC Model Common Emitter Amplifier Common Collector Amplifier Common Base Amplifier Special

More information

ES 330 Electronics II Homework # 6 Soltuions (Fall 2016 Due Wednesday, October 26, 2016)

ES 330 Electronics II Homework # 6 Soltuions (Fall 2016 Due Wednesday, October 26, 2016) Page1 Name Solutions ES 330 Electronics Homework # 6 Soltuions (Fall 016 ue Wednesday, October 6, 016) Problem 1 (18 points) You are given a common-emitter BJT and a common-source MOSFET (n-channel). Fill

More information

Homework Assignment 07

Homework Assignment 07 Homework Assignment 07 Question 1 (Short Takes). 2 points each unless otherwise noted. 1. A single-pole op-amp has an open-loop low-frequency gain of A = 10 5 and an open loop, 3-dB frequency of 4 Hz.

More information

IFB270 Advanced Electronic Circuits

IFB270 Advanced Electronic Circuits IFB270 Advanced Electronic Circuits Chapter 9: FET amplifiers and switching circuits Prof. Manar Mohaisen Department of EEC Engineering Review of the Precedent Lecture Review of basic electronic devices

More information

SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK

SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road 517583 QUESTION BANK Subject with Code : Electronic Circuit Analysis (16EC407) Year & Sem: II-B.Tech & II-Sem

More information

Lecture 13 - Digital Circuits (II) MOS Inverter Circuits. March 22, 2001

Lecture 13 - Digital Circuits (II) MOS Inverter Circuits. March 22, 2001 6.12 - Microelectronic Devices and Circuits - Spring 21 Lecture 13-1 Lecture 13 - Digital Circuits (II) MOS Inverter Circuits March 22, 21 Contents: 1. NMOS inverter with resistor pull-up (cont.) 2. NMOS

More information

Microelectronics Circuit Analysis and Design

Microelectronics Circuit Analysis and Design Neamen Microelectronics Chapter 4-1 Microelectronics Circuit Analysis and Design Donald A. Neamen Chapter 4 Basic FET Amplifiers Neamen Microelectronics Chapter 4-2 In this chapter, we will: Investigate

More information

Building Blocks of Integrated-Circuit Amplifiers

Building Blocks of Integrated-Circuit Amplifiers Building Blocks of ntegrated-circuit Amplifiers 1 The Basic Gain Cell CS and CE Amplifiers with Current Source Loads Current-source- or active-loaded CS amplifier Rin A o R A o g r r o g r 0 m o m o Current-source-

More information

Integrated Circuit Amplifiers. Comparison of MOSFETs and BJTs

Integrated Circuit Amplifiers. Comparison of MOSFETs and BJTs Integrated Circuit Amplifiers Comparison of MOSFETs and BJTs 17 Typical CMOS Device Parameters 0.8 µm 0.25 µm 0.13 µm Parameter NMOS PMOS NMOS PMOS NMOS PMOS t ox (nm) 15 15 6 6 2.7 2.7 C ox (ff/µm 2 )

More information

I1 19u 5V R11 1MEG IDC Q7 Q2N3904 Q2N3904. Figure 3.1 A scaled down 741 op amp used in this lab

I1 19u 5V R11 1MEG IDC Q7 Q2N3904 Q2N3904. Figure 3.1 A scaled down 741 op amp used in this lab Lab 3: 74 Op amp Purpose: The purpose of this laboratory is to become familiar with a two stage operational amplifier (op amp). Students will analyze the circuit manually and compare the results with SPICE.

More information

55:041 Electronic Circuits

55:041 Electronic Circuits 55:041 Electronic Circuits Mosfet Review Sections of Chapter 3 &4 A. Kruger Mosfet Review, Page-1 Basic Structure of MOS Capacitor Sect. 3.1 Width 1 10-6 m or less Thickness 50 10-9 m or less ` MOS Metal-Oxide-Semiconductor

More information

Lecture 300 Low Voltage Op Amps (3/28/10) Page 300-1

Lecture 300 Low Voltage Op Amps (3/28/10) Page 300-1 Lecture 300 Low Voltage Op Amps (3/28/10) Page 300-1 LECTURE 300 LOW VOLTAGE OP AMPS LECTURE ORGANIZATION Outline Introduction Low voltage input stages Low voltage gain stages Low voltage bias circuits

More information