EE247 Lecture 16. EECS 247 Lecture 16: Data Converters- DAC Design & Intro. to ADCs 2009 Page 1

Size: px
Start display at page:

Download "EE247 Lecture 16. EECS 247 Lecture 16: Data Converters- DAC Design & Intro. to ADCs 2009 Page 1"

Transcription

1 EE47 Lecture 6 D/A Converters (continued) Self calibration techniques Current copiers (last lecture) Dynamic element matching DAC reconstruction filter ADC Converters Sampling Sampling switch considerations Thermal noise due to switch resistance Clock jitter related non-idealities Sampling switch bandwidth limitations Switch induced distortion Sampling switch conductance dependence on input voltage Clock voltage boosters EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page Called: Current Copier EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page

2 6bit DAC (6+0) - MSB DAC uses current copier technique I/ I/ Current Divider I EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 3 Current Divider Inaccuracy due to Device Mismatch M & M mismatch results in the two output currents not being exactly equal: Id+ Id Id = did Id Id = =Δ I I d d W di d d L = dvth W + I d V GS V th L I/ I/ M M I Ideal Current Divider I/+dI d / M M I I/-dI d / Real Current Divider M& M mismatched Problem: Device mismatch could severely limit DAC accuracy Use of dynamic element matching (next few pages) EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 4

3 EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 5 Dynamic Element Matching Block Diagram Representation CLKB CLK I ` I ` CLK I ` I I ` I I I I/(+Δ ) M M I/(-Δ ) / error Δ I I EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 6

4 Dynamic Element Matching T=/f clk During Φ During Φ () I = I o +Δ () I = I o Δ ( ) ( ) I () = I o Δ () I = I o +Δ ( ) ( ) Average of I : () () I + I CLK I = ( Δ ) + ( +Δ ) Io = I o / I o / I I / error Δ Io Note: DAC frequency of operation << f clk EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 7 I o Note: For optimum current division accuracy clock frequency is divided by two for each finer division Problem: DAC frequency of operation drastically reduced f clk /4 f clk / f clk f clk f clk f clk Note: What if the same clock frequency is used? EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 8

5 Dynamic Element Matching During Φ During Φ I o /4 I o /4 I o / () () I = Io( + Δ) I = Io( Δ) () () I = I ( Δ ) I = I ( + Δ ) o o CLK I 3 I 4 I I () 3 = = I () I 4 o ( + Δ ) ( + Δ )( + Δ ) I () 3 = = I () I 4 o ( Δ ) ( Δ )( Δ ) / error Δ I 3 () I3 + I = Io = 4 Io = 4 () 3 ( + Δ )( + Δ ) + ( Δ )( Δ ) ( + Δ Δ ) CLK I / error Δ E.g. Δ = Δ = % matching error is (%) = 0.0% I o EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 9 Bipolar -bit DAC using dynamic element matching built in 976 Element matching clock frequency 00kHz INL <0.5LSB! EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 0

6 Example: Stateof-the-Art current steering DAC Segmented: 6bit unit-element 8bit binary EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page

7 Learned to build DACs Convert the incoming digital signal to analog DAC output staircase form Some applications require filtering (smoothing) of DAC output reconstruction filter DAC In the Big Picture Analog Input Analog Preprocessing A/D Conversion DSP D/A Conversion Analog Post processing Analog Output Anti-Aliasing Filter Sampling +Quantization "Bits to Staircase" Reconstruction Filter EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 3 DAC Reconstruction Filter Need for and requirements depend on application DAC Input 0.5 B f s / x 0 6 Tasks: Correct for sinc droop Remove aliases (stair-case approximation) sinc DAC Output x Normalized Frequency f/f s EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 4

8 Reconstruction Filter Options Reconstruction Filters Digital Filter DAC SC Filter CT Filter Reconstruction filter options: Continuous-time filter only CT + SC filter SC filter possible only in combination with oversampling (signal bandwidth B << f s /) Digital filter Band limits the input signal prevent aliasing Could also provide high-frequency pre-emphasis to compensate inband sinx/x amplitude droop associated with the inherent DAC S/H function EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 5 DAC Reconstruction Filter Example: Voice-Band CODEC Receive Path Receive Output f s = 8kHz f s = 8kHz f s = 8kHz f s = 8kHz GSR Reconstruction Filter & sinx/x Compensator f s = 8kHz Note: f max sig = 3.4kHz f DAC s = 8kHz sin(π f max sig x T s )/(π f max sig xt s ) = -.75 db droop due to DAC sinx/x shape Ref: D. Senderowicz et. al, A Family of Differential NMOS Analog Circuits for PCM Codec Filter Chip, IEEE Journal of Solid-State Circuits, Vol.-SC-7, No. 6, pp.04-03, Dec. 98. EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 6

9 Summary D/A Converter D/A architecture Unit element complexity proportional to B - excellent DNL Binary weighted- complexity proportional to B- poor DNL Segmented- unit element MSB(B )+ binary weighted LSB(B ) Complexity proportional (( B -) + B ) -DNL compromise between the two Static performance Component matching Dynamic performance Time constants, Glitches DAC improvement techniques Symmetrical switching rather than sequential switching Current source self calibration Dynamic element matching Depending on the application, reconstruction filter may be needed EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 7 What Next? Analog Input ADC Converters: Need to build circuits that "sample Need to build circuits for amplitude quantization Analog Preprocessing A/D Conversion DSP D/A Conversion Analog Post processing Analog Output Anti-Aliasing Filter Sampling +Quantization "Bits to Staircase" Reconstruction Filter EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 8

10 Analog-to-Digital Converters Two categories: Nyquist rate ADCs f sig max ~ 0.5xf sampling Maximum achievable signal bandwidth higher compared to oversampled type Resolution limited to max. -4bits Oversampled ADCs f sig max << 0.5xf sampling Maximum achievable signal bandwidth significantly lower compared to nyquist Maximum achievable resolution high (8 to 0bits!) EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 9 MOS Sampling Circuits EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 0

11 Ideal Sampling In an ideal world, zero resistance sampling switches would close for the briefest instant to sample a continuous voltage v IN onto the capacitor C v IN φ S C v OUT Output Dirac-like pulses with amplitude equal to V IN at the time of sampling φ T=/f S In practice not realizable! EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page Ideal Track & Hold Sampling φ v IN S C v OUT φ T=/f S V out tracks input for ½ clock cycle when switch is closed Ideally acquires exact value of V in at the instant the switch opens "Track and Hold" (T/H) (often called Sample & Hold!) EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page

12 Ideal T/H Sampling Continuous Time time T/H signal (Sampled-Data Signal) Track Hold Clock Discrete-Time Signal EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 3 Practical Sampling Issues φ v IN M C v OUT Switch induced noise due to M finite channel resistance Clock jitter Finite R sw limited bandwidth finite acquisition time R sw = f(v in ) distortion Switch charge injection & clock feedthrough EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 4

13 Sampling Circuit kt/c Noise φ 4kTRΔf v IN M C v OUT v IN R S C v OUT Switch resistance & sampling capacitor form a low-pass filter Noise associated with the switch resistance results in Total noise variance= the output (see noise analysis in Lecture ) In high resolution ADCs kt/c noise at times dominates overall minimum signal handling capability (power dissipation considerations). EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 5 Sampling Network kt/c Noise For ADCs sampling capacitor size is usually chosen based on having thermal noise smaller or equal or at times slightly larger compared to quantization noise: Assumption: Nyquist rate ADC Choose C such that thermal noise level kbt Δ C B C kbt V FS B C kbt VFS Δ is less (or equal) than Q noise For a Nyquist rate ADC :Total quantization noise power EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 6

14 Sampling Network kt/c Noise Required C min as a Function of ADC Resolution B B C kbt V FS C min (V FS = V) pf 0.8 pf 3 pf 06 pf 5,800 pf C min (V FS = 0.5V) 0.0 pf.4 pf 5 pf 84 pf,00 pf The large area required for C limit highest achievable resolution for Nyquist rate ADCs Oversampling results in reduction of required value for C (will be covered in oversampled converter lectures) EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 7 Clock Jitter So far : clock signal controls sampling instants which we assumed to be precisely equi-distant in time (period T) Real clock generator some level of variability Variability in T causes errors "Aperture Uncertainty" or "Aperture Jitter What is the effect of clock jitter on ADC performance? EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 8

15 Clock Jitter Sampling jitter adds an error voltage proportional to the product of (t J -t 0 ) and the derivative of the input signal at the sampling instant x(t) x (t 0 ) actual sampling time t J nominal (ideal) sampling time t 0 EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 9 Clock Jitter The error voltage is e = x (t 0 )(t J t 0 ) x(t) x (t 0 ) actual sampling time t J error Does jitter matter when sampling dc signals (x (t 0 )=0)? nominal sampling time t 0 EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 30

16 Effect of Clock Jitter on Sampling of a Sinusoidal Signal Sinusoidal input Amplitude: Frequency: Jitter: x( t ) = Asin f t max x ( π x ) ( π ) x x max A f x dt x'(t) = π f Acos f t x'(t ) π f A Then: e( t ) x' ( t ) d t e( t ) π f Adt x # of Bits 6 Worst case A= AFS f fs x = Δ AFS e( t ) << B+ dt << B π f s f s MHz 0 MHz 000 MHz dt << 78 ps 0.4 ps 0.07 ps EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 3 Statistical Jitter Analysis The worst case looks pretty stringent what about the average? Let s calculate the mean squared jitter error (variance) If we re sampling a sinusoidal signal x(t) = Asin(πf x t), then x (t) = πf x Acos(πf x t) E{[x (t)] } = π f x A Assume the jitter has variance E{(t J -t 0 ) } = τ EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 3

17 Statistical Jitter Analysis If x (t) and the jitter are independent E{[x (t)(t J -t 0 )] }= E{[x (t)] } E{(t J -t 0 ) } Hence, the jitter error power is E{e } = π f x A τ If the jitter is uncorrelated from sample to sample, this jitter noise is white EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 33 Statistical Jitter Analysis DR jitter A / = π f A τ x = π f τ x = 0log 0 ( πf τ ) x EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 34

18 Example: ADC Spectral Tests SFDR SDR SNR f s Ref: W. Yang et al., "A 3-V 340-mW 4-b 75-Msample/s CMOS ADC with 85-dB SFDR at Nyquist input," IEEE J. of Solid-State Circuits, Dec. 00 EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 35 Summary Effect of Clock Jitter on ADC Performance In cases where clock signal is provided from off-chip have to choose a source with low enough jitter On-chip precautions to keep the clock jitter less than single-digit pico-second : Separate supplies as much as possible Separate analog and digital clocks Short inverter chains between clock source and destination Few, if any, other analog-to-digital conversion non-idealities have the same symptoms as sampling jitter: RMS noise proportional to input signal frequency RMS noise proportional to input signal amplitude In cases where clock jitter limits the dynamic range, it s easy to tell, but may be difficult to fix... EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 36

19 Sampling Acquisition Bandwidth The resistance R of switch S turns the sampling network into a lowpass filter with finite time constant: τ = RC v IN R φ S C v OUT Assuming V in is constant or changing slowly during the sampling period and C is initially discharged v out ( t) = v in t /τ ( e ) Need to allow enough time for the output to settle to less than ADC LSB determines minimum duration for φ or maximum clock frequency φ v invout δ v EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 37 Sampling: Effect of Switch On-Resistance tx tx t / τ V V <<Δ since V = V ( e ) in out out in Ts T τ s Ve in <<Δ orτ << V ln in Δ Worst Case: V = V in FS v IN φ R S C v OUT Ts 0.7 Ts τ << ln B B ( ) 0.7 R << fcln Bf C s B ( ) s φ t x Example: B = 4, C = 3pF, f s = 00MHz T s /τ >> 9.4, or 0τ <<T s / R << 40 Ω T=/f S EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 38

20 Switch On-Resistance Switch MOS operating in triode mode: W VDS di IDtriode ( ) = μcox VGS VTH VDS, L R dv Dtriode ( ) ON DS V 0 DS R ON = = W W μc V V C V V V L L ( ) μ ( ) ox GS th ox DD th in Let us call V =0 R then R R ON Ro = Vin V V DD in o o th = W μc V V L ( ) ox DD th VGS = V DD -V in V in φ V DD M C EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 39 Sampling Distortion Simulated 0-Bit ADC & T s / = 5τ V DD V th = V V FS = V Sampling Switch modeled: v out v in = e τ T V in VDD V th Results in HD=-4dBFS & HD3=-5.4dBFS EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 40

21 Doubling sampling time (or ½ time constant) Results in: HD improved from -4dBFS to -70dBFS ~30dB HD3 improved from - 5.4dBFS to -76.3dBFS ~5dB Sampling Distortion Allowing enough time for the sampling network settling Reduces distortion due to switch R non-linear behavior to a tolerable level 0bit ADC T s / = 0 τ V DD V th = V V FS = V EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 4 Sampling Distortion Effect of Supply Voltage 0bit ADC & T s / = 5τ V DD V th = V V FS = V Effect of higher supply voltage on sampling distortion HD3 decreased by (V DD /V DD ) HD decreased by (V DD /V DD ) 0bit ADC & T s / = 5τ V DD V th = 4V V FS = V EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 4

22 Sampling Distortion SFDR sensitive to sampling distortion - improve linearity by: Larger V DD /V FS Higher sampling bandwidth Solutions: Overdesign Larger switches Issue: Increased switch charge injection Increased nonlinear S &D junction cap. Maximize V DD /V FS Decreased dynamic range if V DD const. Complementary switch Constant & max. V GS f(v in ) 0bit ADC T s /τ = 0 V DD V th = V V FS = V EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 43 Practical Sampling Summary So Far! kt/c noise C kbt VFS B Finite R sw limited bandwidth 0.7 R << B fc s v IN φ M v OUT C g sw = f (V in ) distortion Vin W g = g for g μc V V VDD V = th L ( ) ON o o ox DD th EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 44

23 Sampling: Use of Complementary Switches φ g o g n o g o T =g on + g o p φ B g o p φ φ B Complementary n & p switch advantages: Increase in the overall conductance Linearize the switch conductance for the range V thp < Vin < Vdd - V thn EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 45 Complementary Switch Issues Supply Voltage Evolution Supply voltage has scaled down with technology scaling Threshold voltages do not scale accordingly Ref: A. Abo et al, A.5-V, 0-bit, 4.3-MS/s CMOS Pipeline Analog-to-Digital Converter, JSSC May 999, pp EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 46

24 Complementary Switch Effect of Supply Voltage Scaling g effective g o n g o T =go n + g o p φ g o p φ B φ φ B As supply voltage scales down input voltage range for constant g o shrinks Complementary switch not effective when V DD becomes comparable to xv th EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 47 Boosted & Constant V GS Sampling V GS =const. OFF ON Gate voltage V GS =low Device off Beware of signal feedthrough due to parasitic capacitors Increase gate overdrive voltage as much as possible + keep V GS constant Switch overdrive voltage independent of signal level Error due to finite R ON linear (to st order) Lower R on lower time constant EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 48

25 Constant V GS Sampling (= the switch input terminal) EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 49 Constant V GS Sampling Circuit VDD=3V P_N M M M3 M8 M6 VP 00ns P C PB C C3 M P M4 M5 M9 VS.5V MHz Va Vg M Vb Chold This Example: All device sizes:w/l=0μ/0.35μ All capacitor size: pf (except for Chold) Note: Each critical switch requires a separate clock booster Sampling switch & C Ref: A. Abo et al, A.5-V, 0-bit, 4.3-MS/s CMOS Pipeline Analog-to-Digital Converter, JSSC May 999, pp EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 50

26 VDD=0 3V M 0ff C PB 0 3V Clock Voltage Doubler C 0 0 M Saturation mode 0 3V 0 (3V-V th M ) M Triode VDD=3V M off 3V 0 3V (3V-V th M ) (6V-V th M ) Acquire charge C C PB 3V 0 0 3V P P VP =clock 0 3V VP 3V 0 a) Start up b) Next clock phase EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 5 Clock Voltage Doubler M 0ff 3V ~6V VDD=3V C PB 0 3V P VP M 0 3V 3V 0 M Triode (6V-V M th ) (3V-V M th ) ~ 3V Acquires C charge Both C & C charged to VDD after one clock cycle Note that bottom plate of C & C is either 0 or VDD while top plates are at VDD or VDD c) Next clock phase EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 5

27 Clock Voltage Doubler VDD=3V VDD M M P_Boost R R VDD C C PB P 0 VP Clock period: 00ns *R & R=GOhm dummy resistors added for simulation only EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 53 Constant V GS Sampler: Φ Low VDD=3V ~ VDD (boosted clock) M3 Triode OFF VDD C3 M4 Sampling switch M is OFF VDD M Triode Input voltage source OFF M OFF VS.5V MHz Chold pf Device OFF C3 charged to ~VDD EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 54

28 Constant V GS Sampler: Φ High M8 C3 previously charged to VDD VDD C3 pf M9 VS.5V MHz M Chold M8 & M9 are on: C3 across G-S of M M on with constant VGS = VDD EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 55 Constant V GS Sampling Input Switch V Gate Chold Signal Input Signal EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 56

29 Clock Multiplier M7 & M3 for reliability Remaining issues: -V GS constant only for V in <V out Boosted Clock Sampling Complete Circuit -Nonlinearity due to Vth dependence of Mon bodysource voltage Switch Ref: A. Abo et al, A.5-V, 0-bit, 4.3-MS/s CMOS Pipeline Analog-to-Digital Converter, JSSC May 999, pp EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 57 Boosted Clock Sampling Design Consideration Choice of value for C3: C3 too large large charging current large dynamic power dissipation VDD C3 M8 C3 too small Vgate-Vs= VDD.C3/(C3+Cx) Loss of VGS due to low ratio of Cx/C3 Cx includes M CGS and all other parasitics caps. M9 Cx Vin M Chold Ref: A. Abo et al, A.5-V, 0-bit, 4.3-MS/s CMOS Pipeline Analog-to-Digital Converter, JSSC May 999, pp EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 58

30 Advanced Clock Boosting Technique Ref: M. Waltari et al., "A self-calibrated pipeline ADC with 00MHz IFsampling frontend," ISSCC 00, Dig. Tech. Papers, pp. 34 Sampling Switch EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 59 Advanced Clock Boosting Technique clk low Sampling Switch clk low Capacitors Ca & Cb charged to VDD MS off Hold mode EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 60

31 Advanced Clock Boosting Technique clk high Sampling Switch clk high Top plate of Ca & Cb connected to gate of sampling switch Bottom plate of Ca connected to V IN Bottom plate of Cb connected to V OUT VGS & VGD of MS VDD & ac signal on G of MS average of V IN & V OUT EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 6 Advanced Clock Boosting Technique Ref: M. Waltari et al., "A self-calibrated pipeline ADC with 00MHz IFsampling frontend," ISSCC 00, Dig. Tech. Papers, pp. 34 Sampling Switch Gate tracks average of input and output, reduces effect of I R drop at high frequencies Bulk also tracks signal reduced body effect (technology used allows connecting bulk to S) Reported measured SFDR = 76.5dB at f in =00MHz EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 6

32 Constant Conductance Switch Ref: H. Pan et al., "A 3.3-V -b 50-MS/s A/D converter in 0.6um CMOS with over 80-dB SFDR," IEEE J. Solid-State Circuits, pp , Dec. 000 EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 63 Constant Conductance Switch OFF Ref: H. Pan et al., "A 3.3-V -b 50-MS/s A/D converter in 0.6um CMOS with over 80-dB SFDR," IEEE J. Solid-State Circuits, pp , Dec. 000 EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 64

33 Constant Conductance Switch M Constant current constant g ds ON M replica of M & same VGS as M M also constant g ds Note: Authors report requirement of 80MHz GBW for the opamp for bit 50Ms/s ADC Also, opamp common-mode compliance for full input range required Ref: H. Pan et al., "A 3.3-V -b 50-MS/s A/D converter in 0.6um CMOS with over 80-dB SFDR," IEEE J. Solid-State Circuits, pp , Dec. 000 EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 65 Switch Off-Mode Feedthrough Cancellation Ref: M. Waltari et al., "A self-calibrated pipeline ADC with 00MHz IF-sampling frontend," ISSCC 00, Dig. Techn. Papers, pp. 34 EECS 47 Lecture 6: Data Converters- DAC Design & Intro. to ADCs 009 Page 66

Summary of Last Lecture

Summary of Last Lecture EE47 Lecture 7 DAC Converters (continued) Dynamic element matching DAC reconstruction filter ADC Converters Sampling Sampling switch considerations Thermal noise due to switch resistance Sampling switch

More information

EE247 Lecture 17. EECS 247 Lecture 17: Data Converters 2006 H.K. Page 1. Summary of Last Lecture

EE247 Lecture 17. EECS 247 Lecture 17: Data Converters 2006 H.K. Page 1. Summary of Last Lecture EE47 Lecture 7 DAC Converters (continued) DAC dynamic non-idealities DAC design considerations Self calibration techniques Current copiers Dynamic element matching DAC reconstruction filter ADC Converters

More information

Summary Last Lecture

Summary Last Lecture EE247 Lecture 16 D/A onverters (continued) DA reconstruction filter AD onverters Sampling Sampling switch considerations Thermal noise due to switch resistance lock jitter related non-idealities Sampling

More information

EE247 Lecture 15. EE247 Lecture 15

EE247 Lecture 15. EE247 Lecture 15 EE47 Lecture 5 Administrative issues Midterm exam postponed to Tues. Oct. 8th o You can only bring one 8x paper with your own written notes (please do not photocopy) o No books, class or any other kind

More information

Administrative. No office hour on Thurs. this week Instead, office hour 3 to 4pm on Wed.

Administrative. No office hour on Thurs. this week Instead, office hour 3 to 4pm on Wed. Administrative No office hour on Thurs. this week Instead, office hour 3 to 4pm on Wed. EECS 247 Lecture 2 Nyquist Rate ADC: Architecture & Design 27 H.K. Page EE247 Lecture 2 ADC Converters Sampling (continued)

More information

EE247 Lecture 14. To avoid having EE247 & EE 142 or EE290C midterms on the same day, EE247 midterm moved from Oct. 20 th to Thurs. Oct.

EE247 Lecture 14. To avoid having EE247 & EE 142 or EE290C midterms on the same day, EE247 midterm moved from Oct. 20 th to Thurs. Oct. Administrative issues EE247 Lecture 14 To avoid having EE247 & EE 142 or EE29C midterms on the same day, EE247 midterm moved from Oct. 2 th to Thurs. Oct. 27 th Homework # 4 due on Thurs. Oct. 2 th H.K.

More information

Lecture 3 Switched-Capacitor Circuits Trevor Caldwell

Lecture 3 Switched-Capacitor Circuits Trevor Caldwell Advanced Analog Circuits Lecture 3 Switched-Capacitor Circuits Trevor Caldwell trevor.caldwell@analog.com Lecture Plan Date Lecture (Wednesday 2-4pm) Reference Homework 2017-01-11 1 MOD1 & MOD2 ST 2, 3,

More information

Summary Last Lecture

Summary Last Lecture Interleaved ADCs EE47 Lecture 4 Oversampled ADCs Why oversampling? Pulse-count modulation Sigma-delta modulation 1-Bit quantization Quantization error (noise) spectrum SQNR analysis Limit cycle oscillations

More information

Summary Last Lecture

Summary Last Lecture EE47 Lecture 5 Pipelined ADCs (continued) How many bits per stage? Algorithmic ADCs utilizing pipeline structure Advanced background calibration techniques Oversampled ADCs Why oversampling? Pulse-count

More information

Lecture #6: Analog-to-Digital Converter

Lecture #6: Analog-to-Digital Converter Lecture #6: Analog-to-Digital Converter All electrical signals in the real world are analog, and their waveforms are continuous in time. Since most signal processing is done digitally in discrete time,

More information

EE247 Lecture 22. Figures of merit (FOM) and trends for ADCs How to use/not use FOM. EECS 247 Lecture 22: Data Converters 2004 H. K.

EE247 Lecture 22. Figures of merit (FOM) and trends for ADCs How to use/not use FOM. EECS 247 Lecture 22: Data Converters 2004 H. K. EE247 Lecture 22 Pipelined ADCs Combining the bits Stage implementation Circuits Noise budgeting Figures of merit (FOM) and trends for ADCs How to use/not use FOM Oversampled ADCs EECS 247 Lecture 22:

More information

INF4420 Switched capacitor circuits Outline

INF4420 Switched capacitor circuits Outline INF4420 Switched capacitor circuits Spring 2012 1 / 54 Outline Switched capacitor introduction MOSFET as an analog switch z-transform Switched capacitor integrators 2 / 54 Introduction Discrete time analog

More information

INF4420. Switched capacitor circuits. Spring Jørgen Andreas Michaelsen

INF4420. Switched capacitor circuits. Spring Jørgen Andreas Michaelsen INF4420 Switched capacitor circuits Spring 2012 Jørgen Andreas Michaelsen (jorgenam@ifi.uio.no) Outline Switched capacitor introduction MOSFET as an analog switch z-transform Switched capacitor integrators

More information

EE247 Lecture 26. EE247 Lecture 26

EE247 Lecture 26. EE247 Lecture 26 EE247 Lecture 26 Administrative EE247 Final exam: Date: Mon. Dec. 18 th Time: 12:30pm-3:30pm Location: 241 Cory Hall Extra office hours: Thurs. Dec. 14 th, 10:30am-12pm Closed book/course notes No calculators/cell

More information

A Successive Approximation ADC based on a new Segmented DAC

A Successive Approximation ADC based on a new Segmented DAC A Successive Approximation ADC based on a new Segmented DAC segmented current-mode DAC successive approximation ADC bi-direction segmented current-mode DAC DAC INL 0.47 LSB DNL 0.154 LSB DAC 3V 8 2MS/s

More information

EECS 247 Lecture 18: Data Converters- Track & Hold- ADC Design 2009 Page 1. EE247 Lecture 18

EECS 247 Lecture 18: Data Converters- Track & Hold- ADC Design 2009 Page 1. EE247 Lecture 18 EE247 Lecture 8 ADC Converters Sampling (continued) Bottom-plate switching Track & hold T/H circuits T/H combined with summing/difference function T/H circuit incorporating gain & offset cancellation T/H

More information

EE247 Lecture 20. Comparator architecture examples Flash ADC sources of error Sparkle code Meta-stability

EE247 Lecture 20. Comparator architecture examples Flash ADC sources of error Sparkle code Meta-stability EE247 Lecture 2 ADC Converters ADC architectures (continued) Comparator architectures Latched comparators Latched comparators incorporating preamplifier Sample-data comparators Offset cancellation Comparator

More information

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 25.4 A 1.8V 14b 10MS/s Pipelined ADC in 0.18µm CMOS with 99dB SFDR Yun Chiu, Paul R. Gray, Borivoje Nikolic University of California, Berkeley,

More information

The Case for Oversampling

The Case for Oversampling EE47 Lecture 4 Oversampled ADCs Why oversampling? Pulse-count modulation Sigma-delta modulation 1-Bit quantization Quantization error (noise) spectrum SQNR analysis Limit cycle oscillations nd order ΣΔ

More information

EE247 Lecture 11. Example: Switched-capacitor filters in CODEC integrated circuits. Switched-capacitor filter design summary

EE247 Lecture 11. Example: Switched-capacitor filters in CODEC integrated circuits. Switched-capacitor filter design summary EE47 Lecture 11 Filters (continued) Example: Switched-capacitor filters in CODEC integrated circuits Switched-capacitor filter design summary Comparison of various filter topologies New Topic: Data Converters

More information

EE247 Lecture 26. This lecture is taped on Wed. Nov. 28 th due to conflict of regular class hours with a meeting

EE247 Lecture 26. This lecture is taped on Wed. Nov. 28 th due to conflict of regular class hours with a meeting EE47 Lecture 6 This lecture is taped on Wed. Nov. 8 th due to conflict of regular class hours with a meeting Any questions regarding this lecture could be discussed during regular office hours or in class

More information

Analog-to-Digital Converters

Analog-to-Digital Converters EE47 Lecture 3 Oversampled ADCs Why oversampling? Pulse-count modulation Sigma-delta modulation 1-Bit quantization Quantization error (noise) spectrum SQNR analysis Limit cycle oscillations nd order ΣΔ

More information

EE247 Lecture 11. Switched-Capacitor Filters (continued) Effect of non-idealities Bilinear switched-capacitor filters Filter design summary

EE247 Lecture 11. Switched-Capacitor Filters (continued) Effect of non-idealities Bilinear switched-capacitor filters Filter design summary EE47 Lecture 11 Switched-Capacitor Filters (continued) Effect of non-idealities Bilinear switched-capacitor filters Filter design summary Comparison of various filter topologies Data Converters EECS 47

More information

Summary Last Lecture

Summary Last Lecture EE247 Lecture 23 Converters Techniques to reduce flash complexity Interpolating (continued) Folding Multi-Step s Two-Step flash Pipelined s EECS 247 Lecture 23: Data Converters 26 H.K. Page Summary Last

More information

Summary of Last Lecture

Summary of Last Lecture EE247 Lecture 2 ADC Converters (continued) Successive approximation ADCs (continued) Flash ADC Flash ADC sources of error Sparkle code Meta-stability Comparator design EECS 247 Lecture 2: Data Converters

More information

SWITCHED CAPACITOR CIRCUITS

SWITCHED CAPACITOR CIRCUITS EE37 Advanced Analog ircuits Lecture 7 SWITHED APAITOR IRUITS Richard Schreier richard.schreier@analog.com Trevor aldwell trevor.caldwell@utoronto.ca ourse Goals Deepen Understanding of MOS analog circuit

More information

EE247 Lecture 23. EECS 247 Lecture 23 Pipelined ADCs 2008 H.K. Page 1. Pipeline ADC Block Diagram DAC ADC. V res2. Stage 2 B 2.

EE247 Lecture 23. EECS 247 Lecture 23 Pipelined ADCs 2008 H.K. Page 1. Pipeline ADC Block Diagram DAC ADC. V res2. Stage 2 B 2. EE247 Lecture 23 Pipelined ADCs (continued) Effect gain stage, sub-dac non-idealities on overall ADC performance Digital calibration (continued) Correction for inter-stage gain nonlinearity Implementation

More information

EE247 Lecture 11. Switched-Capacitor Filters (continued) Effect of non-idealities Bilinear switched-capacitor filters Filter design summary

EE247 Lecture 11. Switched-Capacitor Filters (continued) Effect of non-idealities Bilinear switched-capacitor filters Filter design summary EE247 Lecture 11 Switched-Capacitor Filters (continued) Effect of non-idealities Bilinear switched-capacitor filters Filter design summary Comparison of various filter topologies Data Converters EECS 247

More information

Analog-to-Digital Converter Survey & Analysis. Bob Walden. (310) Update: July 16,1999

Analog-to-Digital Converter Survey & Analysis. Bob Walden. (310) Update: July 16,1999 Analog-to-Digital Converter Survey & Analysis Update: July 16,1999 References: 1. R.H. Walden, Analog-to-digital converter survey and analysis, IEEE Journal on Selected Areas in Communications, vol. 17,

More information

EE247 Lecture 24. EE247 Lecture 24

EE247 Lecture 24. EE247 Lecture 24 EE247 Lecture 24 Administrative EE247 Final exam: Date: Wed. Dec. 15 th Time: -12:30pm-3:30pm- Location: 289 Cory Closed book/course notes No calculators/cell phones/pdas/computers Bring one 8x11 paper

More information

CMOS ADC & DAC Principles

CMOS ADC & DAC Principles CMOS ADC & DAC Principles Willy Sansen KULeuven, ESAT-MICAS Leuven, Belgium willy.sansen@esat.kuleuven.be Willy Sansen 10-05 201 Table of contents Definitions Digital-to-analog converters Resistive Capacitive

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences UNIVERSITY OF AIFORNIA ollege of Engineering Department of Electrical Engineering and omputer Sciences Homework 6 Solution EES 47 H. Khorramabadi Due Tues. November 3, 00 FA 00. A basic NMOS track and

More information

Electronic Noise. Analog Dynamic Range

Electronic Noise. Analog Dynamic Range Electronic Noise Dynamic range in the analog domain Resistor noise Amplifier noise Maximum signal levels Tow-Thomas Biquad noise example Implications on power dissipation EECS 247 Lecture 4: Dynamic Range

More information

Chapter 13: Introduction to Switched- Capacitor Circuits

Chapter 13: Introduction to Switched- Capacitor Circuits Chapter 13: Introduction to Switched- Capacitor Circuits 13.1 General Considerations 13.2 Sampling Switches 13.3 Switched-Capacitor Amplifiers 13.4 Switched-Capacitor Integrator 13.5 Switched-Capacitor

More information

EE247 Lecture 23. Advanced calibration techniques. Compensating inter-stage amplifier non-linearity Calibration via parallel & slow ADC

EE247 Lecture 23. Advanced calibration techniques. Compensating inter-stage amplifier non-linearity Calibration via parallel & slow ADC EE247 Lecture 23 Pipelined ADCs Combining the bits Stage implementation Circuits Noise budgeting Advanced calibration techniques Compensating inter-stage amplifier non-linearity Calibration via parallel

More information

10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS

10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS 10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu*, Andy Burstein**, Mehrdad Heshami*** Agilent Technologies, Palo Alto, CA *Agilent Technologies, Colorado Springs,

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Final Exam EECS 247 H. Khorramabadi Tues., Dec. 14, 2010 FALL 2010 Name: SID: Total number of

More information

Fundamentals of Data Converters. DAVID KRESS Director of Technical Marketing

Fundamentals of Data Converters. DAVID KRESS Director of Technical Marketing Fundamentals of Data Converters DAVID KRESS Director of Technical Marketing 9/14/2016 Analog to Electronic Signal Processing Sensor (INPUT) Amp Converter Digital Processor Actuator (OUTPUT) Amp Converter

More information

A Unity Gain Fully-Differential 10bit and 40MSps Sample-And-Hold Amplifier in 0.18μm CMOS

A Unity Gain Fully-Differential 10bit and 40MSps Sample-And-Hold Amplifier in 0.18μm CMOS A Unity Gain Fully-Differential 0bit and 40MSps Sample-And-Hold Amplifier in 0.8μm CMOS Sanaz Haddadian, and Rahele Hedayati Abstract A 0bit, 40 MSps, sample and hold, implemented in 0.8-μm CMOS technology

More information

Asynchronous SAR ADC: Past, Present and Beyond. Mike Shuo-Wei Chen University of Southern California MWSCAS 2014

Asynchronous SAR ADC: Past, Present and Beyond. Mike Shuo-Wei Chen University of Southern California MWSCAS 2014 Asynchronous SAR ADC: Past, Present and Beyond Mike Shuo-Wei Chen University of Southern California MWSCAS 2014 1 Roles of ADCs Responsibility of ADC is increasing more BW, more dynamic range Potentially

More information

Advanced Operational Amplifiers

Advanced Operational Amplifiers IsLab Analog Integrated Circuit Design OPA2-47 Advanced Operational Amplifiers כ Kyungpook National University IsLab Analog Integrated Circuit Design OPA2-1 Advanced Current Mirrors and Opamps Two-stage

More information

EE247 Midterm Exam Statistics

EE247 Midterm Exam Statistics EE247 Lecture 22 Pipelined ADCs (continued) Effect gain stage, sub-dac non-idealities on overall ADC performance Digital calibration (continued) Correction for inter-stage gain nonlinearity Implementation

More information

EE247 Lecture 11. EECS 247 Lecture 11: Intro. to Data Converters & Performance Metrics 2009 H. K. Page 1. Typical Sampling Process C.T. S.D. D.T.

EE247 Lecture 11. EECS 247 Lecture 11: Intro. to Data Converters & Performance Metrics 2009 H. K. Page 1. Typical Sampling Process C.T. S.D. D.T. EE247 Lecture Data converters Sampling, aliasing, reconstruction Amplitude quantization Static converter error sources Offset Full-scale error Differential non-linearity (DNL) Integral non-linearity (INL)

More information

Analog to Digital Conversion

Analog to Digital Conversion Analog to Digital Conversion Florian Erdinger Lehrstuhl für Schaltungstechnik und Simulation Technische Informatik der Uni Heidelberg VLSI Design - Mixed Mode Simulation F. Erdinger, ZITI, Uni Heidelberg

More information

Design of Pipeline Analog to Digital Converter

Design of Pipeline Analog to Digital Converter Design of Pipeline Analog to Digital Converter Vivek Tripathi, Chandrajit Debnath, Rakesh Malik STMicroelectronics The pipeline analog-to-digital converter (ADC) architecture is the most popular topology

More information

Lecture 9, ANIK. Data converters 1

Lecture 9, ANIK. Data converters 1 Lecture 9, ANIK Data converters 1 What did we do last time? Noise and distortion Understanding the simplest circuit noise Understanding some of the sources of distortion 502 of 530 What will we do today?

More information

Summary Last Lecture

Summary Last Lecture EE247 Lecture 23 Converters Techniques to reduce flash complexity Interpolating (continued) Folding Multi-Step s Two-Step flash Pipelined s EECS 247 Lecture 23: Data Converters 26 H.K. Page 1 Summary Last

More information

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California A 4 GSample/s 8-bit ADC in 0.35 µm CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California 1 Outline Background Chip Architecture

More information

Assoc. Prof. Dr. Burak Kelleci

Assoc. Prof. Dr. Burak Kelleci DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING ANALOG-TO-DIGITAL AND DIGITAL- TO-ANALOG CONVERTERS Assoc. Prof. Dr. Burak Kelleci Fall 2018 OUTLINE Nyquist-Rate DAC Thermometer-Code Converter Hybrid

More information

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3 ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3 25.3 A 96dB SFDR 50MS/s Digitally Enhanced CMOS Pipeline A/D Converter K. Nair, R. Harjani University of Minnesota, Minneapolis, MN Analog-to-digital

More information

Architectures and circuits for timeinterleaved. Sandeep Gupta Teranetics, Santa Clara, CA

Architectures and circuits for timeinterleaved. Sandeep Gupta Teranetics, Santa Clara, CA Architectures and circuits for timeinterleaved ADC s Sandeep Gupta Teranetics, Santa Clara, CA Outline Introduction to time-interleaved architectures. Conventional Sampling architectures and their application

More information

Tuesday, March 22nd, 9:15 11:00

Tuesday, March 22nd, 9:15 11:00 Nonlinearity it and mismatch Tuesday, March 22nd, 9:15 11:00 Snorre Aunet (sa@ifi.uio.no) Nanoelectronics group Department of Informatics University of Oslo Last time and today, Tuesday 22nd of March:

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

12 Bit 1.5 GS/s Return to Zero DAC

12 Bit 1.5 GS/s Return to Zero DAC 12 Bit 1.5 GS/s Return to Zero DAC RDA112RZ Features 12 Bit Resolution 1.5 GS/s Sampling Rate 10 Bit Static Linearity LVDS Compliant Digital Inputs Power Supply: -5.2V, +3.3V Input Code Format: Offset

More information

4 Bits 250MHz Sampling Rate CMOS Pipelined Analog-to-Digital Converter

4 Bits 250MHz Sampling Rate CMOS Pipelined Analog-to-Digital Converter 4 Bits 250MHz Sampling Rate CMOS Pipelined Analog-to-Digital Converter Jinrong Wang B.Sc. Ningbo University Supervisor: dr.ir. Wouter A. Serdijn Submitted to The Faculty of Electrical Engineering, Mathematics

More information

A low-variation on-resistance CMOS sampling switch for high-speed high-performance applications

A low-variation on-resistance CMOS sampling switch for high-speed high-performance applications A low-variation on-resistance CMOS sampling switch for high-speed high-performance applications MohammadReza Asgari 1 and Omid Hashemipour 2a) 1 Microelectronic Lab, Shahid Beheshti University, G. C. Tehran,

More information

CMOS High Speed A/D Converter Architectures

CMOS High Speed A/D Converter Architectures CHAPTER 3 CMOS High Speed A/D Converter Architectures 3.1 Introduction In the previous chapter, basic key functions are examined with special emphasis on the power dissipation associated with its implementation.

More information

System on a Chip. Prof. Dr. Michael Kraft

System on a Chip. Prof. Dr. Michael Kraft System on a Chip Prof. Dr. Michael Kraft Lecture 5: Data Conversion ADC Background/Theory Examples Background Physical systems are typically analogue To apply digital signal processing, the analogue signal

More information

NPTEL. VLSI Data Conversion Circuits - Video course. Electronics & Communication Engineering.

NPTEL. VLSI Data Conversion Circuits - Video course. Electronics & Communication Engineering. NPTEL Syllabus VLSI Data Conversion Circuits - Video course COURSE OUTLINE This course covers the analysis and design of CMOS Analog-to-Digital and Digital-to-Analog Converters,with about 7 design assigments.

More information

Design and Implementation of a Sigma Delta ADC By: Moslem Rashidi, March 2009

Design and Implementation of a Sigma Delta ADC By: Moslem Rashidi, March 2009 Design and Implementation of a Sigma Delta ADC By: Moslem Rashidi, March 2009 Introduction The first thing in design an ADC is select architecture of ADC that is depend on parameters like bandwidth, resolution,

More information

INF4420. Outline. Switched capacitor circuits. Switched capacitor introduction. MOSFET as an analog switch 1 / 26 2 / 26.

INF4420. Outline. Switched capacitor circuits. Switched capacitor introduction. MOSFET as an analog switch 1 / 26 2 / 26. INF4420 Switched capacitor circuits Spring 2012 Jørgen Andreas Michaelsen (jorgenam@ifi.uil.no) 1 / 26 Outline Switched capacitor introduction MOSFET as an analog switch 2 / 26 Introduction Discrete time

More information

Acronyms. ADC analog-to-digital converter. BEOL back-end-of-line

Acronyms. ADC analog-to-digital converter. BEOL back-end-of-line Acronyms ADC analog-to-digital converter BEOL back-end-of-line CDF cumulative distribution function CMOS complementary metal-oxide-semiconductor CPU central processing unit CR charge-redistribution CS

More information

Tuesday, February 22nd, 9:15 11:10. Snorre Aunet Nanoelectronics group Department of Informatics University of Oslo

Tuesday, February 22nd, 9:15 11:10. Snorre Aunet Nanoelectronics group Department of Informatics University of Oslo Nyquist Digital to Analog Converters Tuesday, February 22nd, 9:15 11:10 Snorre Aunet (sa@ifi.uio.no) Nanoelectronics group Department of Informatics University of Oslo February the 15th 1.1 The ideal data

More information

Telecommunication Electronics

Telecommunication Electronics Politecnico di Torino ICT School Telecommunication Electronics C5 - Special A/D converters» Logarithmic conversion» Approximation, A and µ laws» Differential converters» Oversampling, noise shaping Logarithmic

More information

Tones. EECS 247 Lecture 21: Oversampled ADC Implementation 2002 B. Boser 1. 1/512 1/16-1/64 b1. 1/10 1 1/4 1/4 1/8 k1z -1 1-z -1 I1. k2z -1.

Tones. EECS 247 Lecture 21: Oversampled ADC Implementation 2002 B. Boser 1. 1/512 1/16-1/64 b1. 1/10 1 1/4 1/4 1/8 k1z -1 1-z -1 I1. k2z -1. Tones 5 th order Σ modulator DC inputs Tones Dither kt/c noise EECS 47 Lecture : Oversampled ADC Implementation B. Boser 5 th Order Modulator /5 /6-/64 b b b b X / /4 /4 /8 kz - -z - I kz - -z - I k3z

More information

Outline. Noise and Distortion. Noise basics Component and system noise Distortion INF4420. Jørgen Andreas Michaelsen Spring / 45 2 / 45

Outline. Noise and Distortion. Noise basics Component and system noise Distortion INF4420. Jørgen Andreas Michaelsen Spring / 45 2 / 45 INF440 Noise and Distortion Jørgen Andreas Michaelsen Spring 013 1 / 45 Outline Noise basics Component and system noise Distortion Spring 013 Noise and distortion / 45 Introduction We have already considered

More information

AD9772A - Functional Block Diagram

AD9772A - Functional Block Diagram F FEATURES single 3.0 V to 3.6 V supply 14-Bit DAC Resolution 160 MPS Input Data Rate 67.5 MHz Reconstruction Passband @ 160 MPS 74 dbc FDR @ 25 MHz 2 Interpolation Filter with High- or Low-Pass Response

More information

DATASHEET HI5805. Features. Applications. Ordering Information. Pinout. 12-Bit, 5MSPS A/D Converter. FN3984 Rev 7.00 Page 1 of 12.

DATASHEET HI5805. Features. Applications. Ordering Information. Pinout. 12-Bit, 5MSPS A/D Converter. FN3984 Rev 7.00 Page 1 of 12. 12-Bit, 5MSPS A/D Converter NOT RECOMMENDED FOR NEW DESIGNS NO RECOMMENDED REPLACEMENT contact our Technical Support Center at 1-888-INTERSIL or www.intersil.com/tsc DATASHEET FN3984 Rev 7.00 The HI5805

More information

Wideband Sampling by Decimation in Frequency

Wideband Sampling by Decimation in Frequency Wideband Sampling by Decimation in Frequency Martin Snelgrove http://www.kapik.com 192 Spadina Ave. Suite 218 Toronto, Ontario, M5T2C2 Canada Copyright Kapik Integration 2011 WSG: New Architectures for

More information

Gábor C. Temes. School of Electrical Engineering and Computer Science Oregon State University. 1/25

Gábor C. Temes. School of Electrical Engineering and Computer Science Oregon State University. 1/25 Gábor C. Temes School of Electrical Engineering and Computer Science Oregon State University temes@ece.orst.edu 1/25 Noise Intrinsic (inherent) noise: generated by random physical effects in the devices.

More information

A 25MS/s 14b 200mW Σ Modulator in 0.18µm CMOS

A 25MS/s 14b 200mW Σ Modulator in 0.18µm CMOS UT Mixed-Signal/RF Integrated Circuits Seminar Series A 25MS/s 14b 200mW Σ Modulator in 0.18µm CMOS Pio Balmelli April 19 th, Austin TX 2 Outline VDSL specifications Σ A/D converter features Broadband

More information

DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS

DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS by Yves Geerts Alcatel Microelectronics, Belgium Michiel Steyaert KU Leuven, Belgium and Willy Sansen KU Leuven,

More information

10-Bit, 40 MSPS/60 MSPS A/D Converter AD9050 REV. B. Figure 1. Typical Connections FUNCTIONAL BLOCK DIAGRAM

10-Bit, 40 MSPS/60 MSPS A/D Converter AD9050 REV. B. Figure 1. Typical Connections FUNCTIONAL BLOCK DIAGRAM a FEATURES Low Power: 1 mw @ 0 MSPS, mw @ 0 MSPS On-Chip T/H, Reference Single + V Power Supply Operation Selectable V or V Logic I/O SNR: db Minimum at MHz w/0 MSPS APPLICATIONS Medical Imaging Instrumentation

More information

Chapter 2 Basics of Digital-to-Analog Conversion

Chapter 2 Basics of Digital-to-Analog Conversion Chapter 2 Basics of Digital-to-Analog Conversion This chapter discusses basic concepts of modern Digital-to-Analog Converters (DACs). The basic generic DAC functionality and specifications are discussed,

More information

RECENTLY, low-voltage and low-power circuit design

RECENTLY, low-voltage and low-power circuit design IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 4, APRIL 2008 319 A Programmable 0.8-V 10-bit 60-MS/s 19.2-mW 0.13-m CMOS ADC Operating Down to 0.5 V Hee-Cheol Choi, Young-Ju

More information

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY Neha Bakawale Departmentof Electronics & Instrumentation Engineering, Shri G. S. Institute of

More information

CMOS 12-Bit Serial Input Multiplying DIGITAL-TO-ANALOG CONVERTER

CMOS 12-Bit Serial Input Multiplying DIGITAL-TO-ANALOG CONVERTER CMOS 12-Bit Serial Input Multiplying DIGITAL-TO-ANALOG CONVERTER FEATURES 12-BICCURACY IN 8-PIN MINI-DIP AND 8-PIN SOIC FAST 3-WIRE SERIAL INTERFACE LOW INL AND DNL: ±1/2 LSB max GAIN ACCURACY TO ±1LSB

More information

Workshop ESSCIRC. Low-Power Data Acquisition System For Very Small Signals At Low Frequencies With12-Bit- SAR-ADC. 17. September 2010.

Workshop ESSCIRC. Low-Power Data Acquisition System For Very Small Signals At Low Frequencies With12-Bit- SAR-ADC. 17. September 2010. Workshop ESSCIRC Low-Power Data Acquisition System For Very Small Signals At Low Frequencies With12-Bit- SAR-ADC 17. September 2010 Christof Dohmen Outline System Overview Analog-Front-End Chopper-Amplifier

More information

Data Converter Topics. Suggested Reference Texts

Data Converter Topics. Suggested Reference Texts Data Converter Topics Basic Operation of Data Converters Uniform sampling and reconstruction Uniform amplitude quantization Characterization and Testing Common ADC/DAC Architectures Selected Topics in

More information

EE247 Lecture 27. EE247 Lecture 27

EE247 Lecture 27. EE247 Lecture 27 EE247 Lecture 27 Administrative EE247 Final exam: Date: Wed. Dec. 19 th Time: 12:30pm-3:30pm Location: 70 Evans Hall Extra office hours: Thurs. Dec. 13 th, 10:am2pm Closed course notes/books No calculators/cell

More information

CHAPTER. delta-sigma modulators 1.0

CHAPTER. delta-sigma modulators 1.0 CHAPTER 1 CHAPTER Conventional delta-sigma modulators 1.0 This Chapter presents the traditional first- and second-order DSM. The main sources for non-ideal operation are described together with some commonly

More information

PRODUCT OVERVIEW +12VA 5VA +5VA +5VD INPUT AMPLIFIER 7, 35, 37 DIGITAL GROUND DATA VALID

PRODUCT OVERVIEW +12VA 5VA +5VA +5VD INPUT AMPLIFIER 7, 35, 37 DIGITAL GROUND DATA VALID FEATURES 1-bit resolution MPPS throughput rate (1-bits) Functionally complete Very low noise Excellent Signal-to-Noise ratio Edge triggered Small, 0-pin, TDIP package Low power, 00mW typical Low cost Programmable

More information

Telecommunication Electronics

Telecommunication Electronics Politecnico di Torino ICT School Telecommunication Electronics C4 Signal conditioning» Protection circuits» Amplifiers» Anti-aliasing filter» Multiplexer» Sample/Hold Lesson C4: signal conditioning Protection

More information

PRODUCT OVERVIEW REF FLASH ADC S/H BUFFER 24 +5V SUPPLY +12V/+15V SUPPLY. Figure 1. ADS-917 Functional Block Diagram

PRODUCT OVERVIEW REF FLASH ADC S/H BUFFER 24 +5V SUPPLY +12V/+15V SUPPLY. Figure 1. ADS-917 Functional Block Diagram PRODUCT OVERVIEW The is a high-performance, 14-bit, 1MHz sampling A/D converter. This device samples input signals up to Nyquist frequencies with no missing codes. The features outstanding dynamic performance

More information

EE247 Lecture 14. EE247 Lecture 14

EE247 Lecture 14. EE247 Lecture 14 EE47 Lecture 14 Administrative issues Midterm exam postponed to Thurs. Nov. 5th o You can only bring one 8x11 paper with your own written notes (please do not photocopy) o No books, class or any other

More information

OBSOLETE. 10-Bit, 170 MSPS D/A Converter AD9731

OBSOLETE. 10-Bit, 170 MSPS D/A Converter AD9731 a FEATURES 17 MSPS Update Rate TTL/High Speed CMOS-Compatible Inputs Wideband SFDR: 66 db @ 2 MHz/ db @ 65 MHz Pin-Compatible, Lower Cost Replacement for Industry Standard AD9721 DAC Low Power: 439 mw

More information

The Real World is Analog ADC are necessary to convert the real world signals (analog) into the digital form for easy processing. Digital Processing

The Real World is Analog ADC are necessary to convert the real world signals (analog) into the digital form for easy processing. Digital Processing Data Converters The Real World is Analog ADC are necessary to convert the real world signals (analog) into the digital form for easy processing ADC Digital Processing (Computer, DSP...) DAC Real World:

More information

A Low-Power 6-b Integrating-Pipeline Hybrid Analog-to-Digital Converter

A Low-Power 6-b Integrating-Pipeline Hybrid Analog-to-Digital Converter A Low-Power 6-b Integrating-Pipeline Hybrid Analog-to-Digital Converter Quentin Diduck, Martin Margala * Electrical and Computer Engineering Department 526 Computer Studies Bldg., PO Box 270231 University

More information

Data Converters. Specifications for Data Converters. Overview. Testing and characterization. Conditions of operation

Data Converters. Specifications for Data Converters. Overview. Testing and characterization. Conditions of operation Data Converters Overview Specifications for Data Converters Pietro Andreani Dept. of Electrical and Information Technology Lund University, Sweden Conditions of operation Type of converter Converter specifications

More information

Electronics A/D and D/A converters

Electronics A/D and D/A converters Electronics A/D and D/A converters Prof. Márta Rencz, Gábor Takács, Dr. György Bognár, Dr. Péter G. Szabó BME DED December 1, 2014 1 / 26 Introduction The world is analog, signal processing nowadays is

More information

Another way to implement a folding ADC

Another way to implement a folding ADC Another way to implement a folding ADC J. Van Valburg and R. van de Plassche, An 8-b 650 MHz Folding ADC, IEEE JSSC, vol 27, #12, pp. 1662-6, Dec 1992 Coupled Differential Pair J. Van Valburg and R. van

More information

9-Bit, 30 MSPS ADC AD9049 REV. 0. Figure 1. Typical Connections FUNCTIONAL BLOCK DIAGRAM

9-Bit, 30 MSPS ADC AD9049 REV. 0. Figure 1. Typical Connections FUNCTIONAL BLOCK DIAGRAM a FEATURES Low Power: 00 mw On-Chip T/H, Reference Single +5 V Power Supply Operation Selectable 5 V or V Logic I/O Wide Dynamic Performance APPLICATIONS Digital Communications Professional Video Medical

More information

Data Converters. Springer FRANCO MALOBERTI. Pavia University, Italy

Data Converters. Springer FRANCO MALOBERTI. Pavia University, Italy Data Converters by FRANCO MALOBERTI Pavia University, Italy Springer Contents Dedicat ion Preface 1. BACKGROUND ELEMENTS 1.1 1.2 1.3 1.4 1.5 1.6 1.7 1.8 The Ideal Data Converter Sampling 1.2.1 Undersampling

More information

Low-Power Pipelined ADC Design for Wireless LANs

Low-Power Pipelined ADC Design for Wireless LANs Low-Power Pipelined ADC Design for Wireless LANs J. Arias, D. Bisbal, J. San Pablo, L. Quintanilla, L. Enriquez, J. Vicente, J. Barbolla Dept. de Electricidad y Electrónica, E.T.S.I. de Telecomunicación,

More information

RTH GHz Bandwidth High Linearity Track-and-Hold REV-DATE PA FILE DS_0162PA2-3215

RTH GHz Bandwidth High Linearity Track-and-Hold REV-DATE PA FILE DS_0162PA2-3215 RTH090 25 GHz Bandwidth High Linearity Track-and-Hold REV-DATE PA2-3215 FILE DS RTH090 25 GHz Bandwidth High Linearity Track-and-Hold Features 25 GHz Input Bandwidth Better than -40dBc THD Over the Total

More information

MASH 2-1 MULTI-BIT SIGMA-DELTA MODULATOR FOR WLAN L 2 ( ) ( ) 1( 1 1 1

MASH 2-1 MULTI-BIT SIGMA-DELTA MODULATOR FOR WLAN L 2 ( ) ( ) 1( 1 1 1 MASH 2- MULTI-BIT SIGMA-DELTA MODULATOR FOR WLAN Yu hang, Ning Xie, Hui Wang and Yejun He College of Information Engineering, Shenzhen University, Shenzhen, Guangdong 58060, China kensouren@yahoo.com.cn

More information

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier Hyunui Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline Background Body voltage controlled

More information

A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique

A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique 1 A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique Masaya Miyahara and Akira Matsuzawa Tokyo Institute of Technology, Japan 2 Outline Motivation Design Concept

More information

Advantages of Analog Representation. Varies continuously, like the property being measured. Represents continuous values. See Figure 12.

Advantages of Analog Representation. Varies continuously, like the property being measured. Represents continuous values. See Figure 12. Analog Signals Signals that vary continuously throughout a defined range. Representative of many physical quantities, such as temperature and velocity. Usually a voltage or current level. Digital Signals

More information

BandPass Sigma-Delta Modulator for wideband IF signals

BandPass Sigma-Delta Modulator for wideband IF signals BandPass Sigma-Delta Modulator for wideband IF signals Luca Daniel (University of California, Berkeley) Marco Sabatini (STMicroelectronics Berkeley Labs) maintain the same advantages of BaseBand converters

More information