Asynchronous SAR ADC: Past, Present and Beyond. Mike Shuo-Wei Chen University of Southern California MWSCAS 2014

Size: px
Start display at page:

Download "Asynchronous SAR ADC: Past, Present and Beyond. Mike Shuo-Wei Chen University of Southern California MWSCAS 2014"

Transcription

1 Asynchronous SAR ADC: Past, Present and Beyond Mike Shuo-Wei Chen University of Southern California MWSCAS

2 Roles of ADCs Responsibility of ADC is increasing more BW, more dynamic range Potentially simplify analog pre-conditioning circuits Reconfigurable system imposes more weights on ADC IF Sampling, except IF is becoming RF Direct RF Sampling spec/cost of ADC? 2

3 Who is driving higher speed? Low Medium resolution (6bit) with GS/s High speed optical links, instrumentations. High Medium resolution (10bit), with 100MS/s to a few GS/s. Radars, Commercial Communications, or wideband radios, such as 60GHz, UWB, SDR, Cognitive radio. Power efficiency is a key issue! 3

4 Why possible now? Cost of such ADCs used to be intimidating, bounded by Walden wall. CMOS technology provides tremendous opportunity. Circuit designers enjoy inventing and polishing ADC architectures. Given the resolution, speed and power efficiency advanced by orders of magnitude over the past decade 4

5 Walden s ADC Survey Robert Walden, Analog-to-Digital Converter Survey and Analysis, Journal of selected area in communications,

6 Optimal ADC Architecture? Architecture that promotes mostly digital operation so it scales with CMOS technology No high precision analog requirement Tolerate low voltage design Take advantage of device speed 6

7 ADC Architecture Overview Flash Pipeline SAR Vref Vin Vin Stage 1 Stage N Decoder S/H ADC Stage i DAC + DAC Decoder CLK CLK Complexity Conv. time 7

8 Successive Approximation (SAR) Algorithm Binary searching. N-bit resolution requires N comparisons, i.e. 1 bit per cycle. 8

9 Typical SAR Logic N-bit SAR requires at least N+1 cycles. Typically, a fast clock is used to divide the time into S/H, and N bit comparison. DAC and SAR logic change reference levels. 9

10 DAC Implementation Capacitor array to perform sampling and charge redistribution fast and low power. This is most commonly used. However, other DAC implementations are possible, such as resistor ladder network or capacitor-resistor hybrid version. 10

11 Sampling Phase Sampling on the capacitive DAC. 11

12 First MSB comparison All capacitors are connected to Vcm. 12

13 Second MSB Comparison If Vin > 0 The rest of bit conversions follows. 13

14 Why SAR? 1. Mostly digital components good for technology scaling 2. No linear, high precision amplification is required fast, low power 3. Minimal hardware 1 comparator is needed 14

15 Evolving Ecosystem 15

16 SAR ADC in the past 10 years 16

17 Limitation of Synchronous SAR MSB MSB-1 MSB-2 LSB Internal CLK Tracking Phase Cost Jitter No redundant comparison High-speed internal clock Speed limitation Synchronous Conversion Phase Worst-case cycle time Margin for clock jitter Sampling Instants 17

18 Asynchronous SAR ADC Concept MSB MSB-1 MSB-2 LSB Tracking Phase Asynchronous Conversion Phase Sampling Instants Vref Vin '1' 1 2 '0' Vref 3 4 '1' Vref 5 8 Vref Full Scale t cmp t cmp C g m V ln( V FS ID ) Gnd Conversion Time M. S.W. Chen, R. Brodersen, A 6b 600MS/s 5.3mW Asynchronous ADC in 0.13µm CMOS, ISSCC 06. V ID 18 Still uniform sampling

19 How much time can it save? Conv. time between sync. and async. SAR, assuming regenerative comparator is used. It varies with residue voltage profile Best case Worst case 19

20 Best Case Peak input value yields larger Vres pattern 20

21 Worst Case (I) Input with alternative polarity smaller magnitude 21

22 Worst Case (II) As N increases, it approaches ½, same as the best case! Note that: Since there is no synchronous clock uncertainty, more saving is possible! Actual time saving depends on input signal characteristics. 22

23 First Asynchronous SAR ADC Prototype Vin Vref+ Vref- Non-Binary Capacitor Network SR Latch Clk0 Clk1 2-phase clock generation Pulse Generator Ready Generator Sequencer (Multi-Phase CLK) iclk0 iclk6 Switch Logic & Bit Caches bit0 bit6 SRAM Asynchronous digital circuits 23

24 Dynamic Comparator pre-amplifier regenerative latch 2a eq 2a strobe Qn Qp strobe eq Vip Vin Qp Ready Vb Qn Dynamic to save power and generate ready signal Reset switches for fast recovery Ready signal is generated by NAND gate! 24

25 Metastable Issue '1' '1' large vid moderate vid vid~0 (metastable ) Cmp Outputs '1' '0' '0' '1' '0' '0' NAND gate threshold V FS Ready Signal If a comparison is stuck, SAR conversion won t be complete! 25

26 Sampling Network Series capacitor bank reduces input cap loading and settling time (C-2C network if α=β=2) 26

27 1.74 mm 250 µm Die Micrograph 1.4 mm 240 µm 27

28 Single Async. SAR ADC Resolution naturally tradeoffs with sampling rate! 28

29 Single Async. SAR w/ RF Input 29

30 Dual Async. SAR ADCs 30

31 Performance Summary Technology Package Resolution Sampling rate Supply voltage Input 3dB BW Peak SNDR INL/DNL 0.13-mm 6M CMOS Chip-on-board 6 bits MS/s for single ADC (600M-1GS/s for dual) 1.2 V > 4 GHz 34 db (f s = 600MS/s for dual ADC) 0.5 / -0.5 LSB Power Analog Digital Clock 1.2mW 3.2mW 0.9mW Total (dual ADC): 5.3mW 31

32 Comparison with SOA in 2006 High-speed (>10MS/s, 6-10b) ADCs from ISSCC (00-05 ) Total_PW F 2 ENOB s 32

33 Technology Scaling T track T comp T dig RC H ~1/S 1/f T ~1/S RC~1/S P analog P clk P dig IV~1/S fcv 2 ~[1/S-1/S 2 ] fcv 2 ~1/S 2 Constant field scaling (W,L,V dd 1/S) FOM (joule/conversion step) 1/S 2 33

34 Asynchronous SAR Advantages Asynchronous SAR architecture breaks the speed limit of conventional synchronous design methodology. Clock generation requirement is significantly relaxed. It was just the starting point many variations can be introduced potentially. 34

35 What s next? 1. Higher resolution approaching KT/C limit regime? 2. Higher speed GS/s sampling rate possible? 35

36 Higher Resolution Extension Traditional Asynchronous SAR V IN DAC Asynchronous SAR Logic Proposed Passive Gained Asynchronous SAR V IN DAC G Passive Asynchronous SAR Logic 36

37 Proposed Passive Gained SAR V N,Comp G Passive 1 Input referred Noise V IN DAC G Passive V N,Comp D OUT Asynchronous SAR Logic Key highlights 1. Passive amplifier(power-less) Comparator noise spec. 2. Redundant SAR operation Non-linear distortion due to parasitic Cap. 3. Passively amplified signal Comparison time 4. Embedding amplifier into DAC DAC settling time Full-scaled amplifying O 37

38 Proposed Passive Gain SAR V N,Comp G Passive Input referred Noise V IN DAC G Passive V N,Comp D OUT Key highlights Asynchronous SAR Logic 2 Converged to common mode at the final 1. Passive amplifier(power-less) Comparator noise spec. 2. Redundant SAR operation Non-linear distortion due to parasitic Cap. 3. Passively amplified signal Comparison time 4. Embedding amplifier into DAC DAC settling time Full-scaled amplifying O 38

39 Proposed Passive Gain SAR V N,Comp G Passive Input referred Noise V IN DAC G Passive V N,Comp D OUT Asynchronous SAR Logic Key highlights 3 xg Passive 1. Passive amplifier(power-less) Comparator noise spec. 2. Redundant SAR operation Non-linear distortion due to parasitic Cap. 3. Passively amplified signal Comparison time 4. Embedding amplifier into DAC DAC settling time Full-scaled amplifying O 39

40 Proposed Passive Gain SAR V N,Comp Input referred Noise 4 V IN G Passive DAC G Passive V N,Comp D OUT Asynchronous SAR Logic Key highlights 1. Passive amplifier(power-less) Comparator noise spec. 2. Redundant SAR operation Non-linear distortion due to parasitic Cap. 3. Passively amplified signal Comparison time 4. Embedding G Passive into DAC DAC settling time Rail-to-rail input swing 40

41 Embedded Passive Gain Operation Split Capacitor (Double Sampling) Stacked Capacitor (Amplification) V IN C S M S1 M S2 Gain Passive - + C S1 C S V IN + - C S1 C S2 C S 2 C S 2 41

42 Embedded Passive Gain Operation Split Capacitor (Double Sampling) Stacked Capacitor (Amplification) Subsequent SAR operation V IN C S M S1 M S2 Gain Passive - C S1 C S C S1-2V IN -2V IN +V tune + C S2 SAR Operation V tune C-DAC C S 2 Controlling only C S /2 during SAR operation DAC response speed C S 2 42

43 Voltage Over-range Issue Φ1 : Sampling V IN to C S1 & C S2 Φ2 : Charge Redistribution Φ1 Φ1 Φ2 V IN Φ1 Φ2 C S1 + V X Φ1 V IN Φ2 Φ1 C S2 + Φ1 V OUT +V FS /2 +V FS /2 +V FS /2 0 V IN 0 V X 0 V OUT - V FS /2 - V FS /2 - V FS /2 43

44 Voltage Over-range Issue Φ1 : Sampling V IN to C S1 & C S2 Φ2 : Charge Redistribution Φ1 Φ1 Φ2 V IN Φ1 Φ2 C S1 + V X Φ1 V IN Φ2 Φ1 C S2 + Not properly Turned off Φ1 V OUT Rail-to-rail Input Swing [X] +V FS /2 0 V IN +V FS /2 +V FS /2 0 V X 0 V OUT - V FS /2 - V FS /2 - V FS /2 44

45 Proposed Level Shifting V IN Φ1 Φ2 C S1 + V X Φ1 V IN Φ2 Φ1 Level Shifting Circuit C S2 + Comparator Φ1 V OUT Procedures (1) MSB decision by using C S2 (2) Performing Level shifting 45

46 Level Shift Up [MSB>0] CASE I : V IN = [ -V FS /2, 0 ] V FS /2 [MSB-1] Decision range Level shift up Φ1 Φ1 Φ2 Φ3 doubled V IN 0 V IN V FS /2 Φ1 x2 Performing at once V OUT C S2 + Comp. V IN Φ1 + C S1 Φ1 Φ3 C BAT Φ3 Φ2 Φ1 MSB (=D[1]) Φ3 46

47 Level Shift Down [MSB<0] CASE II : V IN = [ 0, V FS /2 ] V FS /2 [MSB-1] Decision range V IN Φ1 Φ1 Φ2 Φ3 doubled V IN 0 V FS /2 Φ1 x2 Level shift down Performing at once V OUT C S2 + Comp. V IN Φ1 + C S1 Φ1 Φ3 C BAT Φ3 Φ2 Φ1 MSB (=D[1]) Φ3 47

48 Free of Voltage Clipping + V FS /2 + V FS /2 + V FS /2 + V FS /2 V IN V X V Y V OUT - V FS /2 - V FS /2 - V FS /2 - V FS /2 V IN Φ1 C S2 + V OUT Comp. V IN Φ1 + C S1 Φ1 V X Φ3 C BAT Φ3 Φ2 Φ1 Φ3 V Y Allowing rail-to-rail input signal swing 48

49 Subsequent SAR Operation V DAC = 12 i=2 D OUT [i] Rx[i] i V REF C S1 C S2 V OUT V IN V IN V REF C BAT Φ2 Φ1 D[2:12] Φ1 D[1] 12 Asynchronous SAR Logic C S1 consists of non-binary weighted Cap arrays. 49

50 KT/C Noise Analysis V BAT C BAT Sampling Phase - + V IN - + Passive Gain Amplifying Phase V IN ±V BAT + - SNR i V IN KT C s Signal Amplitude: 2V IN RMS V[ KT/C Noise ] : 2 KT C s SNR O = SNR i C BAT C S 2 C S 2 C S 2 C BAT C S 2 Selecting large size of C BAT (SNR drops due to C BAT ) < 0.5dB Sufficiently large sized C BAT to prevent SNR degradation 50

51 Comparator VDD MP1 R1 R2 1st Stage MP2 MP3 MP4 MP5 MP6 2 nd Stage CK AMP CK RS Equalize Amplify S1 >> S2 CK AMP V O1N V O1P V O2P S2 V O2N S1 : S2 : ON ON OFF ON CK RS S1 CK RS CK AMP Latch V INP CK RS V INN CK RS V OUTP V OUTN MN1 MN2 MN3 MN4 MN5 MN6 I S1 I S2 CK AMP Dual sized switches Fast Reset (S1) Amplifying (S2) 51

52 Time-out Scheme Sampling Clock V INP V INN DAC V data_ready Earlier arrived pulse detected Normal Operation Loop SW_CTRL CK AMP, CK RS Time-out operation Loop CK RS Delay T time-out V time-out Asynchronous SAR logic Forcing the advancement of asynchronous conversion if comparator is stuck. 52

53 Time-out Timing Diagram SCLK Env_Conv T Conv 1 st 2 nd 3 rd 4 th N th CK Amp V data_ready T time-out Time-out detect V time-out V comp Comparator s threshold level Code V time-out forces next conversion (T time-out designed for worst case) 53

54 Chip Micrograph Bootstrap SW 280um C BAT CDAC P (C S1 ) Comparator CDAC N (C S1 ) C BAT 260um C S2 Async. SAR Logic C S2 Decimator Active Area: 280μm X 260μm J. Nam, D. Chiong, M. S.W. Chen, A 95-MS/s 11-bit 1.36-mW Asynchronous SAR ADC with Embedded Passive Gain in 65nm CMOS, CICC

55 [LSB] [LSB] Static Performance +1.0 DNL (+0.70/-0.84 LSB) [CODE] INL (+0.79/-0.84 LSB) [CODE]

56 ) Power (db) Power (db) Dynamic Performance After Radix Calibration* 0-40 f IN = 1.0 MHz, f S = 95 MHz ENOB = 10.2 SNDR = 63.1 db SFDR = 75.2 db -80 HD2 HD3 HD f S 32 2 f S 32 3 f S 32 4 f S 32 Normalized Frequency (ADC output decimated by 4x) SFDR 0 60 f S = 95 MHz SNDR M 20M 30M 40M 50M Input Frequency (Hz) 57.8 db f IN = 1.0 MHz, f S = 95 MHz SNDR = 63.1 db 56

57 Performance Summary ADC Topology f sampling Resolution Signal Bandwidth Supply (V) SFDR (db) SNDR (db) Power (mw) Asynchronous SAR with Passive Gain 95-MS/s 11-bit 47.5 MHz 1.1 V 75.2 db 63.1 db 1.36 mw Area (mm 2 ) mm 2 Process (nm) FoM 65 nm CMOS 22 Nyquist 14 Low Freq. 57

58 FoM [fj/step] Comparison to prior art ISSCC VLSI Filtering with > 10.0 ENOB, > 10MS/s This work 10.2 ENOB Nyquist) Low Freq.) M 40M 60M 80M 100M f sample Achieves the lowest FoM among recently published ADCs ( >10ENOB, > 10MS/s ) 58

59 Higher Speed Extension What if higher speed is demanded? 1. Unrolled comparators 2. Asynchronous DAC settling 3. Multi-bit/cycle 4. Pipelining 5. Time interleaving 59

60 Unrolled Comparators Unroll the comparators No comparator reset No DAC settling 60

61 Unrolled Asynchronous SAR G. Van der Plas, et al, A 150 MS/s 133 uw 7 bit ADC in 90 nm Digital CMOS, JSSC,

62 Asynchronous DAC Settling DAC settling can also be asynchronous R. Kapusta, et al., A 14b 80MS/s SAR ADC with 73.6dB SNDR in 65nm CMOS, ISSCC

63 - Fewer cycles required for conversion Multi-bit/cycle Conversion - Time-to-digital converter can assist bit comparison But -Give away the offset tolerance -Opportunities for calibration 63

64 Pipelining Residue voltage is available on capacitor network for free 64

65 Time Interleaving Sampling rate scale proportionally to the number of interleaved channels Calibration is required for inter-channel mismatch Relaxed clock distribution For example: 8bit 56GS/s 320 of 175MS/s SAR (Fujitsu) 65

66 90GS/s 8bit with 64x Time Interleave Two comparators ping pong in two consecutive conversions implemented in 32nm SOI L. Kull, et al., A 90GS/s 8b 667mW 64x Interleaved SAR ADC in 32nm Digital SOI CMOS, ISSCC

67 Family of Asynchronous SAR High-speed (>10MS/s, 5-10b) ADCs from ISSCC (00-10 ) Asynchronous SAR has been widely adopted since Benefit from technology scaling! Total_PW F 2 ENOB s Latest: 8b, 90GS/s, 200 fj/conv-step ISSCC 2014 Family of Async. Enabled ADC 67

68 Future Asynchronous SAR The trend is going towards 1-100GS/s ADC. Power efficiency is going towards the order of 1-10 fj/conv-step. Total_PW F 2 ENOB s Latest: 8b, 90GS/s, 200 fj/conv-step ISSCC 2014 Future Breakthroughs! 68

69 Conclusion Low-power, high-speed ADCs are in great needs. New opportunities and breakthroughs are expected in accelerated rate. Asynchronous SAR ADC architecture provides power efficient platform for achieving this goal. The record high-speed (90GS/s) ADC also leverages this topology. More variations of asynchronous SAR ADC architecture will come from all of you! 69

70 Acknowledgements All PhD students involved in these projects: Jaewon Nam, Praveen Sharma and David Chiong. ONR for funding supports. 70

A 35 fj 10b 160 MS/s Pipelined- SAR ADC with Decoupled Flip- Around MDAC and Self- Embedded Offset Cancellation

A 35 fj 10b 160 MS/s Pipelined- SAR ADC with Decoupled Flip- Around MDAC and Self- Embedded Offset Cancellation Y. Zu, C.- H. Chan, S.- W. Sin, S.- P. U, R.P. Martins, F. Maloberti: "A 35 fj 10b 160 MS/s Pipelined-SAR ADC with Decoupled Flip-Around MDAC and Self- Embedded Offset Cancellation"; IEEE Asian Solid-

More information

A 0.55 V 7-bit 160 MS/s Interpolated Pipeline ADC Using Dynamic Amplifiers

A 0.55 V 7-bit 160 MS/s Interpolated Pipeline ADC Using Dynamic Amplifiers A 0.55 V 7-bit 160 MS/s Interpolated Pipeline ADC Using Dynamic Amplifiers James Lin, Daehwa Paik, Seungjong Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada

More information

A 9.35-ENOB, 14.8 fj/conv.-step Fully- Passive Noise-Shaping SAR ADC

A 9.35-ENOB, 14.8 fj/conv.-step Fully- Passive Noise-Shaping SAR ADC A 9.35-ENOB, 14.8 fj/conv.-step Fully- Passive Noise-Shaping SAR ADC Zhijie Chen, Masaya Miyahara, Akira Matsuzawa Tokyo Institute of Technology Symposia on VLSI Technology and Circuits Outline Background

More information

A 12b 50MS/s 2.1mW SAR ADC with redundancy and digital background calibration

A 12b 50MS/s 2.1mW SAR ADC with redundancy and digital background calibration A b 5MS/s.mW SAR ADC with redundancy and digital background calibration The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As

More information

Proposing. An Interpolated Pipeline ADC

Proposing. An Interpolated Pipeline ADC Proposing An Interpolated Pipeline ADC Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Lab. Background 38GHz long range mm-wave system Role of long range mm-wave Current Optical

More information

CMOS ADC & DAC Principles

CMOS ADC & DAC Principles CMOS ADC & DAC Principles Willy Sansen KULeuven, ESAT-MICAS Leuven, Belgium willy.sansen@esat.kuleuven.be Willy Sansen 10-05 201 Table of contents Definitions Digital-to-analog converters Resistive Capacitive

More information

Tuesday, March 1st, 9:15 11:00. Snorre Aunet Nanoelectronics group Department of Informatics University of Oslo.

Tuesday, March 1st, 9:15 11:00. Snorre Aunet Nanoelectronics group Department of Informatics University of Oslo. Nyquist Analog to Digital it Converters Tuesday, March 1st, 9:15 11:00 Snorre Aunet (sa@ifi.uio.no) Nanoelectronics group Department of Informatics University of Oslo 3.1 Introduction 3.1.1 DAC applications

More information

SUCCESSIVE approximation register (SAR) analog-todigital

SUCCESSIVE approximation register (SAR) analog-todigital 426 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 62, NO. 5, MAY 2015 A Novel Hybrid Radix-/Radix-2 SAR ADC With Fast Convergence and Low Hardware Complexity Manzur Rahman, Arindam

More information

A Two- Bit- per- Cycle Successive- Approximation ADC with Background Offset Calibration

A Two- Bit- per- Cycle Successive- Approximation ADC with Background Offset Calibration M. Casubolo, M. Grassi, A. Lombardi, F. Maloberti, P. Malcovati: "A Two-Bit-per- Cycle Successive-Approximation ADC with Background Calibration"; 15th IEEE Int. Conf. on Electronics, Circuits and Systems,

More information

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier Hyunui Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline Background Body voltage controlled

More information

Analog to Digital Conversion

Analog to Digital Conversion Analog to Digital Conversion Florian Erdinger Lehrstuhl für Schaltungstechnik und Simulation Technische Informatik der Uni Heidelberg VLSI Design - Mixed Mode Simulation F. Erdinger, ZITI, Uni Heidelberg

More information

A 6-bit Subranging ADC using Single CDAC Interpolation

A 6-bit Subranging ADC using Single CDAC Interpolation A 6-bit Subranging ADC using Single CDAC Interpolation Hyunui Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline Background Interpolation techniques 6-bit, 500 MS/s

More information

HIGH-SPEED low-resolution analog-to-digital converters

HIGH-SPEED low-resolution analog-to-digital converters 244 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 64, NO. 3, MARCH 2017 A 0.95-mW 6-b 700-MS/s Single-Channel Loop-Unrolled SAR ADC in 40-nm CMOS Long Chen, Student Member, IEEE, Kareem

More information

Summary Last Lecture

Summary Last Lecture EE247 Lecture 23 Converters Techniques to reduce flash complexity Interpolating (continued) Folding Multi-Step s Two-Step flash Pipelined s EECS 247 Lecture 23: Data Converters 26 H.K. Page Summary Last

More information

Low-Power Pipelined ADC Design for Wireless LANs

Low-Power Pipelined ADC Design for Wireless LANs Low-Power Pipelined ADC Design for Wireless LANs J. Arias, D. Bisbal, J. San Pablo, L. Quintanilla, L. Enriquez, J. Vicente, J. Barbolla Dept. de Electricidad y Electrónica, E.T.S.I. de Telecomunicación,

More information

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.2, APRIL, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.2.281 ISSN(Online) 2233-4866 A 4b/cycle Flash-assisted SAR ADC with

More information

CMOS High Speed A/D Converter Architectures

CMOS High Speed A/D Converter Architectures CHAPTER 3 CMOS High Speed A/D Converter Architectures 3.1 Introduction In the previous chapter, basic key functions are examined with special emphasis on the power dissipation associated with its implementation.

More information

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California A 4 GSample/s 8-bit ADC in 0.35 µm CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California 1 Outline Background Chip Architecture

More information

Summary Last Lecture

Summary Last Lecture EE247 Lecture 23 Converters Techniques to reduce flash complexity Interpolating (continued) Folding Multi-Step s Two-Step flash Pipelined s EECS 247 Lecture 23: Data Converters 26 H.K. Page 1 Summary Last

More information

EE247 Lecture 23. Advanced calibration techniques. Compensating inter-stage amplifier non-linearity Calibration via parallel & slow ADC

EE247 Lecture 23. Advanced calibration techniques. Compensating inter-stage amplifier non-linearity Calibration via parallel & slow ADC EE247 Lecture 23 Pipelined ADCs Combining the bits Stage implementation Circuits Noise budgeting Advanced calibration techniques Compensating inter-stage amplifier non-linearity Calibration via parallel

More information

A 2-bit/step SAR ADC structure with one radix-4 DAC

A 2-bit/step SAR ADC structure with one radix-4 DAC A 2-bit/step SAR ADC structure with one radix-4 DAC M. H. M. Larijani and M. B. Ghaznavi-Ghoushchi a) School of Engineering, Shahed University, Tehran, Iran a) ghaznavi@shahed.ac.ir Abstract: In this letter,

More information

A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs

A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs 1 A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs Masaya Miyahara, Yusuke Asada, Daehwa Paik and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline 2 Motivation The Calibration

More information

Design of Pipeline Analog to Digital Converter

Design of Pipeline Analog to Digital Converter Design of Pipeline Analog to Digital Converter Vivek Tripathi, Chandrajit Debnath, Rakesh Malik STMicroelectronics The pipeline analog-to-digital converter (ADC) architecture is the most popular topology

More information

Wideband Sampling by Decimation in Frequency

Wideband Sampling by Decimation in Frequency Wideband Sampling by Decimation in Frequency Martin Snelgrove http://www.kapik.com 192 Spadina Ave. Suite 218 Toronto, Ontario, M5T2C2 Canada Copyright Kapik Integration 2011 WSG: New Architectures for

More information

CMOS Analog to Digital Converters : State-of-the-Art and Perspectives in Digital Communications ADC

CMOS Analog to Digital Converters : State-of-the-Art and Perspectives in Digital Communications ADC CMOS Analog to Digital Converters : State-of-the-Art and Perspectives in Digital Communications ADC Hussein Fakhoury and Hervé Petit C²S Research Group Presentation Outline Introduction Basic concepts

More information

Another way to implement a folding ADC

Another way to implement a folding ADC Another way to implement a folding ADC J. Van Valburg and R. van de Plassche, An 8-b 650 MHz Folding ADC, IEEE JSSC, vol 27, #12, pp. 1662-6, Dec 1992 Coupled Differential Pair J. Van Valburg and R. van

More information

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 25.4 A 1.8V 14b 10MS/s Pipelined ADC in 0.18µm CMOS with 99dB SFDR Yun Chiu, Paul R. Gray, Borivoje Nikolic University of California, Berkeley,

More information

EE247 Lecture 22. Figures of merit (FOM) and trends for ADCs How to use/not use FOM. EECS 247 Lecture 22: Data Converters 2004 H. K.

EE247 Lecture 22. Figures of merit (FOM) and trends for ADCs How to use/not use FOM. EECS 247 Lecture 22: Data Converters 2004 H. K. EE247 Lecture 22 Pipelined ADCs Combining the bits Stage implementation Circuits Noise budgeting Figures of merit (FOM) and trends for ADCs How to use/not use FOM Oversampled ADCs EECS 247 Lecture 22:

More information

A Successive Approximation ADC based on a new Segmented DAC

A Successive Approximation ADC based on a new Segmented DAC A Successive Approximation ADC based on a new Segmented DAC segmented current-mode DAC successive approximation ADC bi-direction segmented current-mode DAC DAC INL 0.47 LSB DNL 0.154 LSB DAC 3V 8 2MS/s

More information

A VCO-Based ADC Employing a Multi- Phase Noise-Shaping Beat Frequency Quantizer for Direct Sampling of Sub-1mV Input Signals

A VCO-Based ADC Employing a Multi- Phase Noise-Shaping Beat Frequency Quantizer for Direct Sampling of Sub-1mV Input Signals A VCO-Based ADC Employing a Multi- Phase Noise-Shaping Beat Frequency Quantizer for Direct Sampling of Sub-1mV Input Signals Bongjin Kim, Somnath Kundu, Seokkyun Ko and Chris H. Kim University of Minnesota,

More information

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren Joint International Mechanical, Electronic and Information Technology Conference (JIMET 2015) A 14-bit 2.5 GS/s based on Multi-Clock Synchronization Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng,

More information

Architectures and circuits for timeinterleaved. Sandeep Gupta Teranetics, Santa Clara, CA

Architectures and circuits for timeinterleaved. Sandeep Gupta Teranetics, Santa Clara, CA Architectures and circuits for timeinterleaved ADC s Sandeep Gupta Teranetics, Santa Clara, CA Outline Introduction to time-interleaved architectures. Conventional Sampling architectures and their application

More information

Chapter 2 ADC Architecture

Chapter 2 ADC Architecture Chapter 2 ADC Architecture 2.1 Introduction While lots of Nyquist-rate ADCs are proposed to resolve resolutions at different speeds throughout the years, there are three types of architectures most widely

More information

Design of Analog Integrated Systems (ECE 615) Outline

Design of Analog Integrated Systems (ECE 615) Outline Design of Analog Integrated Systems (ECE 615) Lecture 9 SAR and Cyclic (Algorithmic) Analog-to-Digital Converters Ayman H. Ismail Integrated Circuits Laboratory Ain Shams University Cairo, Egypt ayman.hassan@eng.asu.edu.eg

More information

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage D. Aksin, M.A. Al- Shyoukh, F. Maloberti: "An 11 Bit Sub-Ranging SAR ADC with Input Signal Range of Twice Supply Voltage"; IEEE International Symposium on Circuits and Systems, ISCAS 2007, New Orleans,

More information

CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE

CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE 3.1 INTRODUCTION An ADC is a device which converts a continuous quantity into discrete digital signal. Among its types, pipelined

More information

DESIGN OF A 500MHZ, 4-BIT LOW POWER ADC FOR UWB APPLICATION

DESIGN OF A 500MHZ, 4-BIT LOW POWER ADC FOR UWB APPLICATION DESIGN OF A 500MHZ, 4-BIT LOW POWER ADC FOR UWB APPLICATION SANTOSH KUMAR PATNAIK 1, DR. SWAPNA BANERJEE 2 1,2 E & ECE Department, Indian Institute of Technology, Kharagpur, Kharagpur, India Abstract-This

More information

Implementation of a 200 MSps 12-bit SAR ADC

Implementation of a 200 MSps 12-bit SAR ADC Master Thesis Project Implementation of a 200 MSps 12-bit SAR ADC Authors: Principal supervisor at LTH: Supervisors at Ericsson: Examiner at LTH: Victor Gylling & Robert Olsson Pietro Andreani Mattias

More information

Workshop ESSCIRC. Low-Power Data Acquisition System For Very Small Signals At Low Frequencies With12-Bit- SAR-ADC. 17. September 2010.

Workshop ESSCIRC. Low-Power Data Acquisition System For Very Small Signals At Low Frequencies With12-Bit- SAR-ADC. 17. September 2010. Workshop ESSCIRC Low-Power Data Acquisition System For Very Small Signals At Low Frequencies With12-Bit- SAR-ADC 17. September 2010 Christof Dohmen Outline System Overview Analog-Front-End Chopper-Amplifier

More information

An Optimized DAC Timing Strategy in SAR ADC with Considering the Overshoot Effect

An Optimized DAC Timing Strategy in SAR ADC with Considering the Overshoot Effect Journal of Electrical and Electronic Engineering 2015; 3(2): 19-24 Published online March 31, 2015 (http://www.sciencepublishinggroup.com/j/jeee) doi: 10.11648/j.jeee.20150302.12 ISSN: 2329-1613 (Print);

More information

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract , pp.17-22 http://dx.doi.org/10.14257/ijunesst.2016.9.8.02 A 12-bit 100kS/s SAR ADC for Biomedical Applications Sung-Chan Rho 1 and Shin-Il Lim 2 1 Department of Electronics and Computer Engineering, Seokyeong

More information

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth LETTER IEICE Electronics Express, Vol.11, No.2, 1 9 A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth Mingshuo Wang a), Fan Ye, Wei Li, and Junyan Ren b) State Key Laboratory

More information

DIGITAL wireless communication applications such as

DIGITAL wireless communication applications such as IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 57, NO. 8, AUGUST 2010 1829 An Asynchronous Binary-Search ADC Architecture With a Reduced Comparator Count Ying-Zu Lin, Student Member,

More information

A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS

A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS Shruti Gatade 1, M. Nagabhushan 2, Manjunath.R 3 1,3 Student, Department of ECE, M S Ramaiah Institute of Technology, Bangalore (India) 2 Assistant

More information

Assoc. Prof. Dr. Burak Kelleci

Assoc. Prof. Dr. Burak Kelleci DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING ANALOG-TO-DIGITAL AND DIGITAL- TO-ANALOG CONVERTERS Assoc. Prof. Dr. Burak Kelleci Fall 2018 OUTLINE Nyquist-Rate DAC Thermometer-Code Converter Hybrid

More information

RECENTLY, low-voltage and low-power circuit design

RECENTLY, low-voltage and low-power circuit design IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 4, APRIL 2008 319 A Programmable 0.8-V 10-bit 60-MS/s 19.2-mW 0.13-m CMOS ADC Operating Down to 0.5 V Hee-Cheol Choi, Young-Ju

More information

IMPLEMENTING THE 10-BIT, 50MS/SEC PIPELINED ADC

IMPLEMENTING THE 10-BIT, 50MS/SEC PIPELINED ADC 98 CHAPTER 5 IMPLEMENTING THE 0-BIT, 50MS/SEC PIPELINED ADC 99 5.0 INTRODUCTION This chapter is devoted to describe the implementation of a 0-bit, 50MS/sec pipelined ADC with different stage resolutions

More information

Lecture #6: Analog-to-Digital Converter

Lecture #6: Analog-to-Digital Converter Lecture #6: Analog-to-Digital Converter All electrical signals in the real world are analog, and their waveforms are continuous in time. Since most signal processing is done digitally in discrete time,

More information

EE247 Lecture 23. EECS 247 Lecture 23 Pipelined ADCs 2008 H.K. Page 1. Pipeline ADC Block Diagram DAC ADC. V res2. Stage 2 B 2.

EE247 Lecture 23. EECS 247 Lecture 23 Pipelined ADCs 2008 H.K. Page 1. Pipeline ADC Block Diagram DAC ADC. V res2. Stage 2 B 2. EE247 Lecture 23 Pipelined ADCs (continued) Effect gain stage, sub-dac non-idealities on overall ADC performance Digital calibration (continued) Correction for inter-stage gain nonlinearity Implementation

More information

Fundamentals of Data Converters. DAVID KRESS Director of Technical Marketing

Fundamentals of Data Converters. DAVID KRESS Director of Technical Marketing Fundamentals of Data Converters DAVID KRESS Director of Technical Marketing 9/14/2016 Analog to Electronic Signal Processing Sensor (INPUT) Amp Converter Digital Processor Actuator (OUTPUT) Amp Converter

More information

Acronyms. ADC analog-to-digital converter. BEOL back-end-of-line

Acronyms. ADC analog-to-digital converter. BEOL back-end-of-line Acronyms ADC analog-to-digital converter BEOL back-end-of-line CDF cumulative distribution function CMOS complementary metal-oxide-semiconductor CPU central processing unit CR charge-redistribution CS

More information

A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique

A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique 1 A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique Masaya Miyahara and Akira Matsuzawa Tokyo Institute of Technology, Japan 2 Outline Motivation Design Concept

More information

Integrated Microsystems Laboratory. Franco Maloberti

Integrated Microsystems Laboratory. Franco Maloberti University of Pavia Integrated Microsystems Laboratory Power Efficient Data Convertes Franco Maloberti franco.maloberti@unipv.it OUTLINE Introduction Managing the noise power budget Challenges of State-of-the-art

More information

A Two-channel 10b 160 MS/s 28 nm CMOS Asynchronous Pipelined-SAR ADC with Low Channel Mismatch

A Two-channel 10b 160 MS/s 28 nm CMOS Asynchronous Pipelined-SAR ADC with Low Channel Mismatch JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.5, OCTOBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.5.636 ISSN(Online) 2233-4866 A Two-channel 10b 160 MS/s 28 nm CMOS

More information

Design and Implementation of a Sigma Delta ADC By: Moslem Rashidi, March 2009

Design and Implementation of a Sigma Delta ADC By: Moslem Rashidi, March 2009 Design and Implementation of a Sigma Delta ADC By: Moslem Rashidi, March 2009 Introduction The first thing in design an ADC is select architecture of ADC that is depend on parameters like bandwidth, resolution,

More information

2.5GS/s Pipelined ADC with Background. Linearity Correction

2.5GS/s Pipelined ADC with Background. Linearity Correction A14b25GS/s8-Way-Interleaved 2.5GS/s Pipelined ADC with Background Calibration and Digital it Dynamic Linearity Correction B. Setterberg 1, K. Poulton 1, S. Ray 1, D.J. Huber 1, V. Abramzon 1, G. Steinbach

More information

Mixed-Signal-Electronics

Mixed-Signal-Electronics 1 Mixed-Signal-Electronics PD Dr.-Ing. Stephan Henzler 2 Chapter 6 Nyquist Rate Analog-to-Digital Converters 3 Analog-to-Digital Converter Families Architecture Variant Speed Precision Counting Operation

More information

A 4-bit High Speed, Low Power Flash ADC by Employing Binary Search Algorithm 1 Brahmaiah Throvagunta, 2 Prashant K Shah

A 4-bit High Speed, Low Power Flash ADC by Employing Binary Search Algorithm 1 Brahmaiah Throvagunta, 2 Prashant K Shah A 4-bit High Speed, Low Power Flash ADC by Employing Binary Search Algorithm 1 Brahmaiah Throvagunta, 2 Prashant K Shah 1 Master of Technology,Dept. of VLSI &Embedded Systems,Sardar Vallabhbhai National

More information

10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS

10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS 10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu*, Andy Burstein**, Mehrdad Heshami*** Agilent Technologies, Palo Alto, CA *Agilent Technologies, Colorado Springs,

More information

Scalable and Synthesizable. Analog IPs

Scalable and Synthesizable. Analog IPs Scalable and Synthesizable Analog IPs Akira Matsuzawa Tokyo Institute of Technology Background and Motivation 1 Issues It becomes more difficult to obtain good analog IPs Insufficient design resources

More information

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique James Lin, Masaya Miyahara and Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Laḃ

More information

A 0.95-mW 6-b 700-MS/s Single-Channel Loop-Unrolled SAR ADC in 40-nm CMOS

A 0.95-mW 6-b 700-MS/s Single-Channel Loop-Unrolled SAR ADC in 40-nm CMOS This article has been accepted for publication in a future issue of this journal, but has not been fully edited. ontent may change prior to final publication. itation information: DOI.9/TSII.6.5595, IEEE

More information

2. ADC Architectures and CMOS Circuits

2. ADC Architectures and CMOS Circuits /58 2. Architectures and CMOS Circuits Francesc Serra Graells francesc.serra.graells@uab.cat Departament de Microelectrònica i Sistemes Electrònics Universitat Autònoma de Barcelona paco.serra@imb-cnm.csic.es

More information

Analog-to-Digital i Converters

Analog-to-Digital i Converters CSE 577 Spring 2011 Analog-to-Digital i Converters Jaehyun Lim, Kyusun Choi Department t of Computer Science and Engineering i The Pennsylvania State University ADC Glossary DNL (differential nonlinearity)

More information

A 130mW 100MS/s Pipelined ADC with 69dB SNDR Enabled by Digital Harmonic Distortion Correction. Andrea Panigada, Ian Galton

A 130mW 100MS/s Pipelined ADC with 69dB SNDR Enabled by Digital Harmonic Distortion Correction. Andrea Panigada, Ian Galton A 130mW 100MS/s Pipelined ADC with 69dB SNDR Enabled by Digital Harmonic Distortion Correction Andrea Panigada, Ian Galton University of California at San Diego, La Jolla, CA INTEGRATED SIGNAL PROCESSING

More information

EE247 Lecture 20. Comparator architecture examples Flash ADC sources of error Sparkle code Meta-stability

EE247 Lecture 20. Comparator architecture examples Flash ADC sources of error Sparkle code Meta-stability EE247 Lecture 2 ADC Converters ADC architectures (continued) Comparator architectures Latched comparators Latched comparators incorporating preamplifier Sample-data comparators Offset cancellation Comparator

More information

A Capacitance-Based Reference Scheme for a 14b-Linear, 100 MS/s SAR-Assisted Pipeline ADC. Iniyavan Elumalai. Master of Science Thesis

A Capacitance-Based Reference Scheme for a 14b-Linear, 100 MS/s SAR-Assisted Pipeline ADC. Iniyavan Elumalai. Master of Science Thesis A Capacitance-Based Reference Scheme for a 14b-Linear, 100 MS/s SAR-Assisted Pipeline ADC A Capacitance-Based Reference Scheme for a 14b-Linear, 100 MS/s SAR-Assisted Pipeline ADC For the degree of Master

More information

Short Range UWB Radio Systems. Finding the power/area limits of

Short Range UWB Radio Systems. Finding the power/area limits of Short Range UWB Radio Systems Finding the power/area limits of CMOS Bob Brodersen Ian O Donnell Mike Chen Stanley Wang Integrated Impulse Transceiver RF Front-End LNA Pulser Amp Analog CLK GEN PMF Digital

More information

A Digitally Enhanced 1.8-V 15-b 40- Msample/s CMOS Pipelined ADC

A Digitally Enhanced 1.8-V 15-b 40- Msample/s CMOS Pipelined ADC A Digitally Enhanced.8-V 5-b 4- Msample/s CMOS d ADC Eric Siragusa and Ian Galton University of California San Diego Now with Analog Devices San Diego California Outline Conventional PADC Example Digitally

More information

A SAR-Assisted Two-Stage Pipeline ADC Chun C. Lee, Member, IEEE, and Michael P. Flynn, Senior Member, IEEE

A SAR-Assisted Two-Stage Pipeline ADC Chun C. Lee, Member, IEEE, and Michael P. Flynn, Senior Member, IEEE IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 46, NO. 4, APRIL 2011 859 A SAR-Assisted Two-Stage Pipeline ADC Chun C. Lee, Member, IEEE, and Michael P. Flynn, Senior Member, IEEE Abstract Successive approximation

More information

Lei Sun 1, Chi Tung Ko 1, Marco Ho 1, Wai Tung Ng 2, Ka Nang Leung 1, Chiu Sing Choy 1, Kong Pang Pun 1. M5S 3G4

Lei Sun 1, Chi Tung Ko 1, Marco Ho 1, Wai Tung Ng 2, Ka Nang Leung 1, Chiu Sing Choy 1, Kong Pang Pun 1. M5S 3G4 23 µw 8.9-effective number of bit 1.1 MS/s successive approximation register analog-to-digital converter with an energy-efficient digital-to-analog converter switching scheme Lei Sun 1, Chi Tung Ko 1,

More information

Pipelined Analog-to-Digital Converters

Pipelined Analog-to-Digital Converters Department of Electrical and Computer Engineering Pipelined Analog-to-Digital Converters Vishal Saxena Vishal Saxena -1- Multi-Step A/D Conversion Basics Vishal Saxena -2-2 Motivation for Multi-Step Converters

More information

ANALYSIS AND DESIGN OF A LOW POWER ADC

ANALYSIS AND DESIGN OF A LOW POWER ADC ANALYSIS AND DESIGN OF A LOW POWER ADC MSC. THESIS - VINCENT PETERS - JULY 2012 Supervisors: prof. dr. ir. B. Nauta dr. ing. E.A.M. Klumperink ir. H. Kundur-Subramaniyan dr. ir. A.B.J. Kokkeler Report:

More information

1.5 bit-per-stage 8-bit Pipelined CMOS A/D Converter for Neuromophic Vision Processor

1.5 bit-per-stage 8-bit Pipelined CMOS A/D Converter for Neuromophic Vision Processor 1.5 bit-per-stage 8-bit Pipelined CMOS A/D Converter for Neuromophic Vision Processor Yilei Li, Li Du 09212020027@fudan.edu.cn Abstract- Neuromorphic vision processor is an electronic implementation of

More information

Appendix A Comparison of ADC Architectures

Appendix A Comparison of ADC Architectures Appendix A Comparison of ADC Architectures A comparison of continuous-time delta-sigma (CT ), pipeline, and timeinterleaved (TI) SAR ADCs which target wide signal bandwidths (greater than 100 MHz) and

More information

Architectures and Design Methodologies for Very Low Power and Power Effective A/D Sigma-Delta Converters

Architectures and Design Methodologies for Very Low Power and Power Effective A/D Sigma-Delta Converters 0 Architectures and Design Methodologies for Very Low Power and Power Effective A/D Sigma-Delta Converters F. Maloberti University of Pavia - Italy franco.maloberti@unipv.it 1 Introduction Summary Sigma-Delta

More information

Data Converters. Springer FRANCO MALOBERTI. Pavia University, Italy

Data Converters. Springer FRANCO MALOBERTI. Pavia University, Italy Data Converters by FRANCO MALOBERTI Pavia University, Italy Springer Contents Dedicat ion Preface 1. BACKGROUND ELEMENTS 1.1 1.2 1.3 1.4 1.5 1.6 1.7 1.8 The Ideal Data Converter Sampling 1.2.1 Undersampling

More information

DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS

DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS by Yves Geerts Alcatel Microelectronics, Belgium Michiel Steyaert KU Leuven, Belgium and Willy Sansen KU Leuven,

More information

Mixed-Signal-Electronics

Mixed-Signal-Electronics 1 Mixed-Signal-Electronics PD Dr.-Ing. Stephan Henzler 2 Chapter 6 Nyquist Rate Analog-to-Digital Converters 3 Pipelined ADC 2 4 High-Speed ADC: Pipeline Processing Stephan Henzler Advanced Integrated

More information

A Low Power Analog Front End Capable of Monitoring Knee Movements to Detect Injury

A Low Power Analog Front End Capable of Monitoring Knee Movements to Detect Injury A Low Power Analog Front End Capable of Monitoring Knee Movements to Detect Injury Garren Boggs, Hua Chen, Sridhar Sivapurapu ECE 6414 Final Presentation Outline Motivation System Overview Analog Front

More information

SUCCESSIVE approximation register (SAR) analog-todigital

SUCCESSIVE approximation register (SAR) analog-todigital IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 4, APRIL 2010 731 A 10-bit 50-MS/s SAR ADC With a Monotonic Capacitor Switching Procedure Chun-Cheng Liu, Student Member, IEEE, Soon-Jyh Chang, Member,

More information

Summary of Last Lecture

Summary of Last Lecture EE247 Lecture 2 ADC Converters (continued) Successive approximation ADCs (continued) Flash ADC Flash ADC sources of error Sparkle code Meta-stability Comparator design EECS 247 Lecture 2: Data Converters

More information

A 25MS/s 14b 200mW Σ Modulator in 0.18µm CMOS

A 25MS/s 14b 200mW Σ Modulator in 0.18µm CMOS UT Mixed-Signal/RF Integrated Circuits Seminar Series A 25MS/s 14b 200mW Σ Modulator in 0.18µm CMOS Pio Balmelli April 19 th, Austin TX 2 Outline VDSL specifications Σ A/D converter features Broadband

More information

Design and Implementation of a Low Power Successive Approximation ADC. Xin HUANG, Xiao-ning XIN, Jian REN* and Xin-lei CHEN

Design and Implementation of a Low Power Successive Approximation ADC. Xin HUANG, Xiao-ning XIN, Jian REN* and Xin-lei CHEN 2018 International Conference on Mechanical, Electronic and Information Technology (ICMEIT 2018) ISBN: 978-1-60595-548-3 Design and Implementation of a Low Power Successive Approximation ADC Xin HUANG,

More information

EE247 Midterm Exam Statistics

EE247 Midterm Exam Statistics EE247 Lecture 22 Pipelined ADCs (continued) Effect gain stage, sub-dac non-idealities on overall ADC performance Digital calibration (continued) Correction for inter-stage gain nonlinearity Implementation

More information

High-Speed Analog to Digital Converters. ELCT 1003:High Speed ADCs

High-Speed Analog to Digital Converters. ELCT 1003:High Speed ADCs High-Speed Analog to Digital Converters Ann Kotkat Barbara Georgy Mahmoud Tantawi Ayman Sakr Heidi El-Feky Nourane Gamal 1 Outline Introduction. Process of ADC. ADC Specifications. Flash ADC. Pipelined

More information

A 12b 100 MS/s Three-Step Hybrid Pipeline ADC Based on Time-Interleaved SAR ADCs

A 12b 100 MS/s Three-Step Hybrid Pipeline ADC Based on Time-Interleaved SAR ADCs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.2, APRIL, 2014 http://dx.doi.org/10.5573/jsts.2014.14.2.189 A 12b 100 MS/s Three-Step Hybrid ADC Based on Time-Interleaved SAR ADCs Jun-Sang

More information

Design of 28 nm FD-SOI CMOS 800 MS/s SAR ADC for wireless applications

Design of 28 nm FD-SOI CMOS 800 MS/s SAR ADC for wireless applications Design of 28 nm FD-SOI CMOS 800 MS/s SAR ADC for wireless applications Master s thesis in Embedded Electronic System Design VICTOR ÅBERG Department of Computer Science and Engineering CHALMERS UNIVERSITY

More information

VLSI DESIGN OF 12-BIT ADC WITH 1GSPS IN 180NM CMOS INTEGRATING WITH SAR AND TWO-STEP FLASH ADC

VLSI DESIGN OF 12-BIT ADC WITH 1GSPS IN 180NM CMOS INTEGRATING WITH SAR AND TWO-STEP FLASH ADC VLSI DESIGN OF 12-BIT ADC WITH 1GSPS IN 180NM CMOS INTEGRATING WITH SAR AND TWO-STEP FLASH ADC 1 K.LOKESH KRISHNA, 2 T.RAMASHRI 1 Associate Professor, Department of ECE, Sri Venkateswara College of Engineering

More information

Administrative. No office hour on Thurs. this week Instead, office hour 3 to 4pm on Wed.

Administrative. No office hour on Thurs. this week Instead, office hour 3 to 4pm on Wed. Administrative No office hour on Thurs. this week Instead, office hour 3 to 4pm on Wed. EECS 247 Lecture 2 Nyquist Rate ADC: Architecture & Design 27 H.K. Page EE247 Lecture 2 ADC Converters Sampling (continued)

More information

High-speed ADC techniques - overview and scaling issues - Vladimir Stojanovic

High-speed ADC techniques - overview and scaling issues - Vladimir Stojanovic High-speed ADC techniques - overview and scaling issues - Vladimir Stojanovic Outline High-Speed ADC applications Basic ADC performance metrics Architectures overview ADCs in 90s Limiting factors Conclusion

More information

A 1.25GS/S 8-BIT TIME-INTERLEAVED C-2C SAR ADC FOR WIRELINE RECEIVER APPLICATIONS. Qiwei Wang

A 1.25GS/S 8-BIT TIME-INTERLEAVED C-2C SAR ADC FOR WIRELINE RECEIVER APPLICATIONS. Qiwei Wang A 1.25GS/S 8-BIT TIME-INTERLEAVED -2 SAR AD FOR WIRELINE REEIVER APPLIATIONS by Qiwei Wang A thesis submitted in conformity with the requirements for the degree of Master of Applied Science Graduate Department

More information

2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS

2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS 2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS November 30 - December 3, 2008 Venetian Macao Resort-Hotel Macao, China IEEE Catalog Number: CFP08APC-USB ISBN: 978-1-4244-2342-2 Library of Congress:

More information

The counterpart to a DAC is the ADC, which is generally a more complicated circuit. One of the most popular ADC circuit is the successive

The counterpart to a DAC is the ADC, which is generally a more complicated circuit. One of the most popular ADC circuit is the successive 1 The counterpart to a DAC is the ADC, which is generally a more complicated circuit. One of the most popular ADC circuit is the successive approximation converter. 2 3 The idea of sampling is fully covered

More information

A Low-power Area-efficient Switching Scheme for Chargesharing

A Low-power Area-efficient Switching Scheme for Chargesharing A Low-power Area-efficient Switching Scheme for Chargesharing DACs in SAR ADCs The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation

More information

EE247 Lecture 17. EECS 247 Lecture 17: Data Converters 2006 H.K. Page 1. Summary of Last Lecture

EE247 Lecture 17. EECS 247 Lecture 17: Data Converters 2006 H.K. Page 1. Summary of Last Lecture EE47 Lecture 7 DAC Converters (continued) DAC dynamic non-idealities DAC design considerations Self calibration techniques Current copiers Dynamic element matching DAC reconstruction filter ADC Converters

More information

A Rail-to-Rail Input 12b 2 MS/s 0.18 µm CMOS Cyclic ADC for Touch Screen Applications

A Rail-to-Rail Input 12b 2 MS/s 0.18 µm CMOS Cyclic ADC for Touch Screen Applications 160 HEE-CHEOL CHOI et al : A RAIL-TO-RAIL INPUT 12B 2 MS/S 0.18 µm CMOS CYCLIC ADC FOR TOUCH SCREEN APPLICATIONS A Rail-to-Rail Input 12b 2 MS/s 0.18 µm CMOS Cyclic ADC for Touch Screen Applications Hee-Cheol

More information

A 2.5 V 109 db DR ADC for Audio Application

A 2.5 V 109 db DR ADC for Audio Application 276 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.10, NO.4, DECEMBER, 2010 A 2.5 V 109 db DR ADC for Audio Application Gwangyol Noh and Gil-Cho Ahn Abstract A 2.5 V feed-forward second-order deltasigma

More information

FUNDAMENTALS OF ANALOG TO DIGITAL CONVERTERS: PART I.1

FUNDAMENTALS OF ANALOG TO DIGITAL CONVERTERS: PART I.1 FUNDAMENTALS OF ANALOG TO DIGITAL CONVERTERS: PART I.1 Many of these slides were provided by Dr. Sebastian Hoyos January 2019 Texas A&M University 1 Spring, 2019 Outline Fundamentals of Analog-to-Digital

More information

AN ABSTRACT OF THE DISSERTATION OF

AN ABSTRACT OF THE DISSERTATION OF AN ABSTRACT OF THE DISSERTATION OF Jiaming Lin for the degree of Doctor of Philosophy in Electrical and Computer Engineering presented on July 8, 2013. Title: Design Techniques for Low Power High Speed

More information