EE247 Lecture 15. EE247 Lecture 15

Size: px
Start display at page:

Download "EE247 Lecture 15. EE247 Lecture 15"

Transcription

1 EE47 Lecture 5 Administrative issues Midterm exam postponed to Tues. Oct. 8th o You can only bring one 8x paper with your own written notes (please do not photocopy) o No books, class or any other kind of handouts/notes, calculators, computers, PDA, cell phones... o Midterm includes material covered to end of lecture 4 EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page EE47 Lecture 5 D/A converters Static performance of D/As (continued) Systematic & random errors Practical aspects of current-switched DACs Segmented current-switched DACs DAC dynamic non-idealities DAC design considerations Self calibration techniques Current copiers Dynamic element matching DAC reconstruction filter EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page

2 Summary Last Lecture D/A converter architectures: Resistor string DAC Serial charge redistribution DAC Parallel charge scaling DAC Combination of resistor string (MSB) & binary weighted charge scaling (LSB) Current source DAC Unit element Binary weighted Static performance Component matching-systematic & random errors Component random variations Gaussian pdf NL for both unit-element DAC: σ NL = σ ε x B/- DNL for unit-element: σ DNL = σ ε EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 3 DAC NL σ NL /σ ε n σe = n σ ( ε B -).5 / N dσ E To find max. variance: = dn N n= N / σe = σε 4 Error is maximum at mid-scale (N/): σ NL B = σε B with N =.5 n/n NL depends on both DAC resolution & element matching σ ε While σ DNL = σ ε is to first order independent of DAC resolution and is only a function of element matching Ref: Kuboki et al, TCAS, 6/98 EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 4

3 DNL [LSB] Simulation Example Bit converter DNL and NL -.4 / +.3 LSB σ ε = % B = Random # generator used in MatLab NL LSB] bin -. / +.8 LSB bin Computed NL: σ NL max =.3 LSB (midscale) Why is the results not as expected per our derivation? EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 5 NL & DNL for Binary Weighted DAC NL same as for unit element DAC out DNL depends on transition Example: to σ DNL = σ (dι/ι) to σ DNL = 3σ (dι/ι) B- ref 4 ref ref ref Consider MSB transition: EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 6

4 8 4 8 ref 4 ref DAC DNL Example: 4bit DAC ref out ref DNL depends on transition Example: to σ DNL = σ (dιref/ιref) to σ DNL = 3σ (dιref/ιref) Analog Output [ ref ] on 4, off, off on, on on, off on. on 8, off 4, off, off 8 off, 4 on, on, on Digital nput EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 7. Binary Weighted DAC DNL σ DNL / σ ε 5 5 DNL for a 4-Bit DAC DAC Output [LSB] Worst-case transition occurs at mid-scale: ( ) ε ( ) B B DNL = + ε σ σ σ Bσ ε σdnl = B/ max σε B σnlmax σε σdnl max Example: B =, σ ε = % σ DNL =.64 LSB σ NL =.3 LSB EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 8

5 MOS Current Source Variations Due to Device Matching Effects d d d = d + d = d d d d d dd d dv = + V V W L W L GS th th d d Current matching depends on: - Device W/L ratio matching Larger device area less mismatch effect - Current mismatch due to threshold voltage variations: Larger gate-overdrive less threshold voltage mismatch effect EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 9 Current-Switched DACs in CMOS out ref Switch Array d W d d L dvth = + V V W d L GS th Advantages: Example: 8bit Binary Weighted Can be very fast Reasonable area for resolution < 9-bits Disadvantages: Accuracy depends on device W/L & V th matching EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page

6 Unit Element versus Binary Weighted DAC Unit Element DAC σ = σ DNL ε B σ σ NL ε Binary Weighted DAC B σ σ = σ DNL ε NL B σ σ NL ε Number of switched elements: S = B S = B Key point: Significant difference in performance and complexity! EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page Another Random Run DNL [LSB] NL [LSB] DNL and NL of Bit converter - / +. LSB, bin -.8 / +.8 LSB bin Now (by chance) worst DNL is mid-scale. Close to statistical result! EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page

7 Bit DAC DNL/NL Comparison Plots: Simulation Runs Overlaid Ref: C. Lin and K. Bult, "A -b, 5- MSample/s CMOS DAC in.6 mm," EEE Journal of Solid-State Circuits, vol. 33, pp , December 998. Note: σ ε =% EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 3 Bit DAC DNL/NL Comparison Plots: RMS for Simulation Runs Ref: C. Lin and K. Bult, "A -b, 5- MSample/s CMOS DAC in.6 mm," EEE Journal of Solid-State Circuits, vol. 33, pp , December 998. Note: σ ε =% EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 4

8 DAC NL/DNL Summary DAC choice of architecture has significant impact on DNL NL is independent of DAC architecture and requires element matching commensurate with overall DAC precision Results assume uncorrelated random element variations Systematic errors and correlations are usually also important and may affect final DAC performance Ref: Kuboki, S.; Kato, K.; Miyakawa, N.; Matsubara, K. Nonlinearity analysis of resistor string A/D converters. EEE Transactions on Circuits and Systems, vol.cas-9, (no.6), June 98. p EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 5 Unit Element versus Binary Weighted DAC Example: B= Unit Element DAC σ DNL = σ ε Binary Weighted DAC σ DNL = B σ 3 ε σ ε σ σ 6σ B NL ε = ε σ NL Number of switched elements: = B σ 6 ε σ ε B S = = 4 S = B= Significant difference in performance and complexity! EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 6

9 Segmented DAC Combination of Unit-Element & Binary-Weighted Objective: Compromise between unit-element and binary-weighted DAC MSB (B bits) (B bits) LSB Unit Element Binary Weighted Approach: B MSB bits unit elements B LSB bits binary weighted V Analog B Total = B +B NL: unaffected same as either architecture DNL: Worst case occurs when LSB DAC turns off and one more MSB DAC element turns on Same as binary weighted DAC with (B +) # of bits Number of switched elements: ( B -) + B EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 7 Comparison Example: B =, B = 5, B = 7 B = 6, B = 6 MSB Assuming: σ ε = % DAC Architecture (B+B) Unit element (+) Segmented (6+6) Segmented (5+7) Binary weighted(+) LSB σ NL[LSB] DNL NL ( B + ) σ σ = σ σ B B σ ε ε S = + B σ DNL[LSB] NL # of switched elements =69 3+7=38 EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 8

10 Practical Aspects Current-Switched DACs Unit element DACs ensure monotonicity by turning on equal-weighted current sources in succession Typically current switching performed by differential pairs For each diff pair, only one of the devices are on switch device mismatch not an issue ssue: While binary weighted DAC can use the incoming binary digital word directly, unit element requires a decoder Binary Thermometer N to ( N -) decoder EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 9 4-bit MSB Unit element DAC + 4-bit binary weighted DAC Note: 4-bit MSB DAC requires extra 4-to-6 bit decoder Digital code for both DACs stored in a register Segmented Current-Switched DAC Example: 8bit 4MSB+4LSB EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page

11 Segmented Current-Switched DAC Cont d 4-bit MSB Unit element DAC + 4- bit binary weighted DAC Note: 4-bit MSB DAC requires extra 4-to-6 bit decoder Digital code for both DACs stored in a register EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page Segmented Current-Switched DAC Cont d MSB Decoder Domino logic Example: D4,5,6,7= OUT= Domino Logic Register Latched NAND gate: CTRL= OUT=NB N Register EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page

12 Segmented Current-Switched DAC Reference Current Considerations ref is referenced to V DD Problem: Reference current varies with supply voltage + - ref =(V DD -V ref ) / R EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 3 ref is referenced to V ss GND Segmented Current-Switched DAC Reference Current Considerations + - ref =(V ref -V ss ) / R EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 4

13 Segmented Current-Switched DAC Considerations Example: bit MSB Unit element DAC & 3bit binary weighted DAC To ensure monotonicity at the MSB LSB transition: First OFF MSB current source is routed to LSB current generator LSB MSB EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 5 DAC Dynamic Non-dealities Finite settling time Linear settling issues: (e.g. RC time constants) Slew limited settling Spurious signal coupling Coupling of clock/control signals to the output via switches Timing error related glitches Control signal timing skew EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 6

14 Dynamic DAC Error: Timing Glitch Consider binary weighted DAC transition DAC output depends on timing Plot shows situation where the control signals for LSB & MSB LSB/MSBs on time LSB early, MSB late LSB late, MSB early deal Late 5 Early 5 5 DAC Output Time EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 7 Glitch Energy Glitch energy (worst case) proportional to: dt x B- dt error in timing & B- associated with half of the switches changing state LSB energy proportional to: T=/f s Need dt x B- << T or dt << -B+ T Examples: f s [MHz] B 6 dt [ps] << 488 <<.5 << Timing accuracy for data converters much more critical compared to digital circuitry EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 8

15 DAC Dynamic Errors To suppress effect of non-idealities: Retiming of current source control signals Each current source has its own clocked latch incorporated in the current cell Minimization of latch clock skew by careful layout ensuring simultaneous change of bits To minimize control and clock feed through to the output via G-D & G-S of the switches Use of low-swing digital circuitry EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 9 DAC mplementation Examples Untrimmed segmented T. Miki et al, An 8-MHz 8-bit CMOS D/A Converter, JSSC December 986, pp. 983 A. Van den Bosch et al, A -GSample/s Nyquist Current-Steering CMOS D/A Converter, JSSC March, pp. 35 Current copiers: D. W. J. Groeneveld et al, A Self-Calibration Technique for Monolithic High-Resolution D/A Converters, JSSC December 989, pp. 57 Dynamic element matching: R. J. van de Plassche, Dynamic Element Matching for High- Accuracy Monolithic D/A Converters, JSSC December 976, pp. 795 EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 3

16 8x8 array μ tech., 5Vsupply 6+ segmented EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 3 Two sources of systematic error: - Finite current source output resistance - Voltage drop due to finite ground bus resistance EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 3

17 Current-Switched DACs in CMOS Assumptions: Rx small compared to transistor gate-overdrive To simplify analysis: nitially, all device currents assumed to be equal to V = V 4R GS M GS M V = V 7R GS M3 GS M out V = V 9R GS GS M4 M5 = GS GS M V = V R = k M ( VGS Vth) M V GS 4R M V th V G V DD M 5 M M M 3 M Rx4 Rx3 Rx Rx Example: 5 unit element current sources EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 33 Current-Switched DACs in CMOS 4R = k( VGS V ) M th = VGS V M th g m = M VGS V M th 4Rgm M 4Rgm = ( ) 7Rgm 3 m ( ) M 7Rg = 9Rgm 4 m ( ) M 9Rg = Rgm 5 m ( ) M Rg = Desirable to have g m small M M M M out V DD M 5 M M M 3 M Rx4 Rx3 Rx Rx Example: 5 unit element current sources EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 34

18 NL [LSB] Current-Switched DACs in CMOS Example: NL of 3-Bit unit element DAC nput Sequential current source switching Symmetrical current source switching Example: 7 unit element current source DAC- assume g m R=/ f switching of current sources arranged sequentially ( ) NL= +.5LSB f switching of current sources symmetrical ( ) NL = +.9, -.58LSB NL reduced by a factor of.6 EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 35 Current-Switched DACs in CMOS Example: DNL of 7 unit element DAC. DNL [LSB] Sequential current source switching Symmetrical current source switching nput Example: 7 unit element current source DAC- assume g m R=/ f switching of current sources arranged sequentially ( ) DNL max = +.5LSB f switching of current sources symmetrical ( ) DNL max = +.5LSB DNL unchanged EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 36

19 (5+5) More recent published DAC using symmetrical switching built in.35μ/3v analog/.9v digital, area x smaller compared to previous example EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 37 Layout of Current sources -each current source made of 4 devices in parallel each located in one of the 4 quadrants Thermometer decoder used to convert incoming binary digital control for the 5 MSB bits Dummy decoder used on the LSB side to match the latency due to the MSB decoder EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 38

20 Current source layout MSB current sources layout in the mid sections of the four quad LSB current sources on the periphery Two rows of dummy current sources the periphery to create identical environment for devices in the center versus the ones on the outer sections EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 39 Note that each current cell has its clocked latch and clock signal laid out to be close to its switch to ensure simultaneous switching of current sources Special attention paid to the final latch to have the cross point of the complementary switch control signal such that the two switches are not both turned off during transition EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 4

21 Measured DNL/NL with current associated with the current cells as variable EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 4 EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 4

22 6bit DAC (6+)- MSB DAC uses calibrated current sources / / Current Divider EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 43 EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 44

23 Current Divider Accuracy d+ d = d = d d d d d d W d d d L = dvth W + d V GS V th L / / M M deal Current Divider /+d d / M M /-d d / Real Current Divider M& M mismatched Problem: Device mismatch could severely limit DAC accuracy EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 45 EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 46

24 Dynamic Element Matching During Φ During Φ () = o +Δ () = o Δ ( ) ( ) () () + = ( Δ ) + ( +Δ ) o = o () = o Δ () = o +Δ ( ) ( ) f clk o / o / / error Δ o EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 47 EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 48

25 Dynamic Element Matching () () = o( + Δ) = o( Δ) () () = ( Δ ) = ( + Δ ) During Φ During Φ o / o /4 o /4 o o f clk 3 4 () 3 = = () 4 o ( + Δ ) ( + Δ )( + Δ ) () 3 = = () 4 o ( Δ ) ( Δ )( Δ ) / error Δ 3 () 3 + = o = 4 o = 4 () 3 ( + Δ )( + Δ ) + ( Δ )( Δ ) ( + Δ Δ ) f clk / error Δ E.g. Δ = Δ = % matching error is (%) =.% o EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 49 Bipolar -bit DAC using dynamic element matching built in 976 Element matching clock frequency khz NL <.5LSB! EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 5

26 Example: Stateof-the-Art current steering DAC 6bit unit-element 8bit binary EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 5 EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 5

27 DAC n the Big Picture Learned to build DACs Convert the incoming digital signal to analog DAC output staircase form Some applications require filtering (smoothing) of DAC output reconstruction filter Analog nput Analog Preprocessing A/D Conversion DSP D/A Conversion Analog Post processing Analog Output Anti-Aliasing Filter Sampling +Quantization... "Bits to Staircase" Reconstruction Filter EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 53 DAC Reconstruction Filter Need for and requirements depend on application Tasks: Correct for sinc droop Remove aliases (stair-case approximation) DAC nput sinc DAC Output.5 B f s / x x Normalized Frequency f/f s EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 54

28 Reconstruction Filter Options Reconstruction Filters Digital Filter DAC SC Filter CT Filter Digital and SC filter possible only in combination with oversampling (signal bandwidth B << f s /) Digital filter Band limits the input signal prevent aliasing Could also provide high-frequency pre-emphasis to compensate in-band sinc amplitude droop associated with the inherent DAC S/H function EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 55 DAC Reconstruction Filter Example: Voice-Band CODEC Receive Path Receive Output f s = 8kHz f s = 8kHz f s = 8kHz f s = 8kHz GSR Reconstruction Filter & sinx/x Compensator Note: f max sig = 3.4kHz f DAC s = 8kHz sin(π f max sig x T s )/(π f max sig xt s ) = -.75 db droop due to DAC sinc shape f s = 8kHz Ref: D. Senderowicz et. al, A Family of Differential NMOS Analog Circuits for PCM Codec Filter Chip, EEE Journal of Solid-State Circuits, Vol.-SC-7, No. 6, pp.4-3, Dec. 98. EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 56

29 Summary D/A Converter D/A architecture Unit element complexity proportional to B - excellent DNL Binary weighted- complexity proportional to B- poor DNL Segmented- unit element MSB(B )+ binary weighted LSB(B ) Complexity proportional (( B -) + B ) -DNL compromise between the two Static performance Component matching Dynamic performance Time constants, Glitches DAC improvement techniques Symmetrical switching rather than sequential switching Current source self calibration Dynamic element matching Depending on the application, reconstruction filter may be needed EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 57 What Next? Analog nput ADC Converters: Need to build circuits that "sample Need to build circuits for amplitude quantization Analog Preprocessing A/D Conversion DSP D/A Conversion Analog Post processing Analog Output Anti-Aliasing Filter Sampling +Quantization... "Bits to Staircase" Reconstruction Filter EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 58

30 Analog-to-Digital Converters Two categories: Nyquist rate ADCs f sig max ~.5xf sampling Maximum achievable signal bandwidth higher compared to oversampled type Resolution limited to max. -4bits Oversampled ADCs f sig max <<.5xf sampling Maximum achievable signal bandwidth significantly lower compared to nyquist Maximum achievable resolution high (8 to bits!) EECS 47- Lecture 5 Data Converters:DAC Design (continued) 8 H.K. Page 59

EE247 Lecture 14. EE247 Lecture 14

EE247 Lecture 14. EE247 Lecture 14 EE47 Lecture 14 Administrative issues Midterm exam postponed to Thurs. Nov. 5th o You can only bring one 8x11 paper with your own written notes (please do not photocopy) o No books, class or any other

More information

EE247 Lecture 17. EECS 247 Lecture 17: Data Converters 2006 H.K. Page 1. Summary of Last Lecture

EE247 Lecture 17. EECS 247 Lecture 17: Data Converters 2006 H.K. Page 1. Summary of Last Lecture EE47 Lecture 7 DAC Converters (continued) DAC dynamic non-idealities DAC design considerations Self calibration techniques Current copiers Dynamic element matching DAC reconstruction filter ADC Converters

More information

Summary of Last Lecture

Summary of Last Lecture EE47 Lecture 7 DAC Converters (continued) Dynamic element matching DAC reconstruction filter ADC Converters Sampling Sampling switch considerations Thermal noise due to switch resistance Sampling switch

More information

EE247 Lecture 16. EECS 247 Lecture 16: Data Converters- DAC Design & Intro. to ADCs 2009 Page 1

EE247 Lecture 16. EECS 247 Lecture 16: Data Converters- DAC Design & Intro. to ADCs 2009 Page 1 EE47 Lecture 6 D/A Converters (continued) Self calibration techniques Current copiers (last lecture) Dynamic element matching DAC reconstruction filter ADC Converters Sampling Sampling switch considerations

More information

CMOS ADC & DAC Principles

CMOS ADC & DAC Principles CMOS ADC & DAC Principles Willy Sansen KULeuven, ESAT-MICAS Leuven, Belgium willy.sansen@esat.kuleuven.be Willy Sansen 10-05 201 Table of contents Definitions Digital-to-analog converters Resistive Capacitive

More information

EE247 Lecture 20. Comparator architecture examples Flash ADC sources of error Sparkle code Meta-stability

EE247 Lecture 20. Comparator architecture examples Flash ADC sources of error Sparkle code Meta-stability EE247 Lecture 2 ADC Converters ADC architectures (continued) Comparator architectures Latched comparators Latched comparators incorporating preamplifier Sample-data comparators Offset cancellation Comparator

More information

EECS 247 Lecture 18: Data Converters- Track & Hold- ADC Design 2009 Page 1. EE247 Lecture 18

EECS 247 Lecture 18: Data Converters- Track & Hold- ADC Design 2009 Page 1. EE247 Lecture 18 EE247 Lecture 8 ADC Converters Sampling (continued) Bottom-plate switching Track & hold T/H circuits T/H combined with summing/difference function T/H circuit incorporating gain & offset cancellation T/H

More information

Administrative. No office hour on Thurs. this week Instead, office hour 3 to 4pm on Wed.

Administrative. No office hour on Thurs. this week Instead, office hour 3 to 4pm on Wed. Administrative No office hour on Thurs. this week Instead, office hour 3 to 4pm on Wed. EECS 247 Lecture 2 Nyquist Rate ADC: Architecture & Design 27 H.K. Page EE247 Lecture 2 ADC Converters Sampling (continued)

More information

Assoc. Prof. Dr. Burak Kelleci

Assoc. Prof. Dr. Burak Kelleci DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING ANALOG-TO-DIGITAL AND DIGITAL- TO-ANALOG CONVERTERS Assoc. Prof. Dr. Burak Kelleci Fall 2018 OUTLINE Nyquist-Rate DAC Thermometer-Code Converter Hybrid

More information

DIGITALLY controlled and area-efficient calibration circuits

DIGITALLY controlled and area-efficient calibration circuits 246 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 5, MAY 2005 A Low-Voltage 10-Bit CMOS DAC in 0.01-mm 2 Die Area Brandon Greenley, Raymond Veith, Dong-Young Chang, and Un-Ku

More information

Summary of Last Lecture

Summary of Last Lecture EE247 Lecture 2 ADC Converters (continued) Successive approximation ADCs (continued) Flash ADC Flash ADC sources of error Sparkle code Meta-stability Comparator design EECS 247 Lecture 2: Data Converters

More information

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver 3.1 INTRODUCTION As last chapter description, we know that there is a nonlinearity relationship between luminance

More information

Solution to Homework 5

Solution to Homework 5 Solution to Homework 5 Problem 1. a- Since (1) (2) Given B=14, =0.2%, we get So INL is the constraint on yield. To meet INL

More information

A 10-BIT 1.2-GS/s NYQUIST CURRENT-STEERING CMOS D/A CONVERTER USING A NOVEL 3-D DECODER

A 10-BIT 1.2-GS/s NYQUIST CURRENT-STEERING CMOS D/A CONVERTER USING A NOVEL 3-D DECODER A 10-BT 1.-GS/s NYQUST CURRENT-STEERNG CMOS D/A CONVERTER USNG A NOVEL 3-D DECODER Paymun Aliparast Nasser Nasirzadeh e-mail: peyman.aliparast@elec.tct.ac.ir e-mail: nnasirzadeh@elec.tct.ac.ir Tabriz College

More information

A Successive Approximation ADC based on a new Segmented DAC

A Successive Approximation ADC based on a new Segmented DAC A Successive Approximation ADC based on a new Segmented DAC segmented current-mode DAC successive approximation ADC bi-direction segmented current-mode DAC DAC INL 0.47 LSB DNL 0.154 LSB DAC 3V 8 2MS/s

More information

Summary Last Lecture

Summary Last Lecture EE247 Lecture 16 D/A onverters (continued) DA reconstruction filter AD onverters Sampling Sampling switch considerations Thermal noise due to switch resistance lock jitter related non-idealities Sampling

More information

Summary Last Lecture

Summary Last Lecture EE247 Lecture 23 Converters Techniques to reduce flash complexity Interpolating (continued) Folding Multi-Step s Two-Step flash Pipelined s EECS 247 Lecture 23: Data Converters 26 H.K. Page Summary Last

More information

Summary Last Lecture

Summary Last Lecture Interleaved ADCs EE47 Lecture 4 Oversampled ADCs Why oversampling? Pulse-count modulation Sigma-delta modulation 1-Bit quantization Quantization error (noise) spectrum SQNR analysis Limit cycle oscillations

More information

EE247 Lecture 11. Example: Switched-capacitor filters in CODEC integrated circuits. Switched-capacitor filter design summary

EE247 Lecture 11. Example: Switched-capacitor filters in CODEC integrated circuits. Switched-capacitor filter design summary EE47 Lecture 11 Filters (continued) Example: Switched-capacitor filters in CODEC integrated circuits Switched-capacitor filter design summary Comparison of various filter topologies New Topic: Data Converters

More information

A 7 bit 3.52 GHz Current Steering DAC for WiGig Applications

A 7 bit 3.52 GHz Current Steering DAC for WiGig Applications A 7 bit 3.52 GHz Current Steering DAC for WiGig Applications Trindade, M. Helena Abstract This paper presents a Digital to Analog Converter (DAC) with 7 bit resolution and a sampling rate of 3.52 GHz to

More information

Summary Last Lecture

Summary Last Lecture EE247 Lecture 23 Converters Techniques to reduce flash complexity Interpolating (continued) Folding Multi-Step s Two-Step flash Pipelined s EECS 247 Lecture 23: Data Converters 26 H.K. Page 1 Summary Last

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Final Exam EECS 247 H. Khorramabadi Tues., Dec. 14, 2010 FALL 2010 Name: SID: Total number of

More information

EE 435. Lecture 32. DAC Design. Parasitic Capacitances. The String DAC

EE 435. Lecture 32. DAC Design. Parasitic Capacitances. The String DAC EE 435 Lecture 32 DAC Design The String DAC Parasitic Capacitances . eview from last lecture. DFT Simulation from Matlab . eview from last lecture. Summary of time and amplitude quantization assessment

More information

Digital Calibration for Current-Steering DAC Linearity Enhancement

Digital Calibration for Current-Steering DAC Linearity Enhancement Digital Calibration for Current-Steering DAC Linearity Enhancement Faculty of Science and Technology, Division of Electronics & Informatics Gunma University Shaiful Nizam Mohyar, Haruo Kobayashi Gunma

More information

Lecture #6: Analog-to-Digital Converter

Lecture #6: Analog-to-Digital Converter Lecture #6: Analog-to-Digital Converter All electrical signals in the real world are analog, and their waveforms are continuous in time. Since most signal processing is done digitally in discrete time,

More information

Electronics A/D and D/A converters

Electronics A/D and D/A converters Electronics A/D and D/A converters Prof. Márta Rencz, Gábor Takács, Dr. György Bognár, Dr. Péter G. Szabó BME DED December 1, 2014 1 / 26 Introduction The world is analog, signal processing nowadays is

More information

Lecture 3 Switched-Capacitor Circuits Trevor Caldwell

Lecture 3 Switched-Capacitor Circuits Trevor Caldwell Advanced Analog Circuits Lecture 3 Switched-Capacitor Circuits Trevor Caldwell trevor.caldwell@analog.com Lecture Plan Date Lecture (Wednesday 2-4pm) Reference Homework 2017-01-11 1 MOD1 & MOD2 ST 2, 3,

More information

Transfer Function DAC architectures/examples Calibrations

Transfer Function DAC architectures/examples Calibrations Welcome to 046188 Winter semester 2012 Mixed Signal Electronic Circuits Instructor: Dr. M. Moyal Lecture 06 DIGITAL TO ANALOG CONVERTERS Transfer Function DAC architectures/examples Calibrations www.gigalogchip.com

More information

EE247 Lecture 11. EECS 247 Lecture 11: Intro. to Data Converters & Performance Metrics 2009 H. K. Page 1. Typical Sampling Process C.T. S.D. D.T.

EE247 Lecture 11. EECS 247 Lecture 11: Intro. to Data Converters & Performance Metrics 2009 H. K. Page 1. Typical Sampling Process C.T. S.D. D.T. EE247 Lecture Data converters Sampling, aliasing, reconstruction Amplitude quantization Static converter error sources Offset Full-scale error Differential non-linearity (DNL) Integral non-linearity (INL)

More information

THE pressure to reduce cost in mass market communication

THE pressure to reduce cost in mass market communication 1948 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 12, DECEMBER 1998 A 10-b, 500-MSample/s CMOS DAC in 0.6 mm Chi-Hung Lin and Klaas Bult Abstract A 10-b current steering CMOS digital-to-analog converter

More information

MOS Transistor Mismatch for High Accuracy Applications

MOS Transistor Mismatch for High Accuracy Applications MOS Transistor Mismatch for High Accuracy Applications G. Van der Plas, J. Vandenbussche, A. Van den Bosch, M.Steyaert, W. Sansen and G. Gielen * Katholieke Universiteit Leuven, Dept. of Electrical Engineering,

More information

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California A 4 GSample/s 8-bit ADC in 0.35 µm CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California 1 Outline Background Chip Architecture

More information

Analog-to-Digital i Converters

Analog-to-Digital i Converters CSE 577 Spring 2011 Analog-to-Digital i Converters Jaehyun Lim, Kyusun Choi Department t of Computer Science and Engineering i The Pennsylvania State University ADC Glossary DNL (differential nonlinearity)

More information

Chapter 2 Basics of Digital-to-Analog Conversion

Chapter 2 Basics of Digital-to-Analog Conversion Chapter 2 Basics of Digital-to-Analog Conversion This chapter discusses basic concepts of modern Digital-to-Analog Converters (DACs). The basic generic DAC functionality and specifications are discussed,

More information

Design of 12-bit 100-MHz Current-Steering DAC for SOC Applications

Design of 12-bit 100-MHz Current-Steering DAC for SOC Applications Design of 12-bit 100-MHz Current-Steering DAC for SOC Applications Chun-Yueh Huang Tsung-Tien Hou, and Chi-Chieh Chuang Department of Electronic Engineering Kun Shan Universiv of Technology Yung-Kang,

More information

Improved Design Methodology for High-Speed High-Accuracy Current Steering D/A Converters

Improved Design Methodology for High-Speed High-Accuracy Current Steering D/A Converters mproved Design Methodology for High-Speed High-Accuracy Current Steering D/A Converters Miquel Albiol, José Luis González, Eduard Alarcón Electronic Engineering Department, Universitat Politècnica de Catalunya,

More information

Dynamic calibration of current-steering DAC

Dynamic calibration of current-steering DAC Retrospective Theses and Dissertations Iowa State University Capstones, Theses and Dissertations 2007 Dynamic calibration of current-steering DAC Chao Su Iowa State University Follow this and additional

More information

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren Joint International Mechanical, Electronic and Information Technology Conference (JIMET 2015) A 14-bit 2.5 GS/s based on Multi-Clock Synchronization Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng,

More information

Analog-to-Digital Converters

Analog-to-Digital Converters EE47 Lecture 3 Oversampled ADCs Why oversampling? Pulse-count modulation Sigma-delta modulation 1-Bit quantization Quantization error (noise) spectrum SQNR analysis Limit cycle oscillations nd order ΣΔ

More information

Lecture 9, ANIK. Data converters 1

Lecture 9, ANIK. Data converters 1 Lecture 9, ANIK Data converters 1 What did we do last time? Noise and distortion Understanding the simplest circuit noise Understanding some of the sources of distortion 502 of 530 What will we do today?

More information

Summary Last Lecture

Summary Last Lecture EE47 Lecture 5 Pipelined ADCs (continued) How many bits per stage? Algorithmic ADCs utilizing pipeline structure Advanced background calibration techniques Oversampled ADCs Why oversampling? Pulse-count

More information

Flash ADC (Part-I) Architecture & Challenges

Flash ADC (Part-I) Architecture & Challenges project synopsis In The Name of Almighty Lec. 4: Flash ADC (PartI) Architecture & Challenges Lecturer: Samaneh Babayan Integrated Circuit Lab. Department of Computer Science & Engineering ImamReza University

More information

Tuesday, February 22nd, 9:15 11:10. Snorre Aunet Nanoelectronics group Department of Informatics University of Oslo

Tuesday, February 22nd, 9:15 11:10. Snorre Aunet Nanoelectronics group Department of Informatics University of Oslo Nyquist Digital to Analog Converters Tuesday, February 22nd, 9:15 11:10 Snorre Aunet (sa@ifi.uio.no) Nanoelectronics group Department of Informatics University of Oslo February the 15th 1.1 The ideal data

More information

Data Converters. Specifications for Data Converters. Overview. Testing and characterization. Conditions of operation

Data Converters. Specifications for Data Converters. Overview. Testing and characterization. Conditions of operation Data Converters Overview Specifications for Data Converters Pietro Andreani Dept. of Electrical and Information Technology Lund University, Sweden Conditions of operation Type of converter Converter specifications

More information

CMOS High Speed A/D Converter Architectures

CMOS High Speed A/D Converter Architectures CHAPTER 3 CMOS High Speed A/D Converter Architectures 3.1 Introduction In the previous chapter, basic key functions are examined with special emphasis on the power dissipation associated with its implementation.

More information

Fundamentals of Data Converters. DAVID KRESS Director of Technical Marketing

Fundamentals of Data Converters. DAVID KRESS Director of Technical Marketing Fundamentals of Data Converters DAVID KRESS Director of Technical Marketing 9/14/2016 Analog to Electronic Signal Processing Sensor (INPUT) Amp Converter Digital Processor Actuator (OUTPUT) Amp Converter

More information

The need for Data Converters

The need for Data Converters The need for Data Converters ANALOG SIGNAL (Speech, Images, Sensors, Radar, etc.) PRE-PROCESSING (Filtering and analog to digital conversion) DIGITAL PROCESSOR (Microprocessor) POST-PROCESSING (Digital

More information

Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters

Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters Abstract In this paper, we present a complete design methodology for high-performance low-power Analog-to-Digital

More information

Another way to implement a folding ADC

Another way to implement a folding ADC Another way to implement a folding ADC J. Van Valburg and R. van de Plassche, An 8-b 650 MHz Folding ADC, IEEE JSSC, vol 27, #12, pp. 1662-6, Dec 1992 Coupled Differential Pair J. Van Valburg and R. van

More information

NPTEL. VLSI Data Conversion Circuits - Video course. Electronics & Communication Engineering.

NPTEL. VLSI Data Conversion Circuits - Video course. Electronics & Communication Engineering. NPTEL Syllabus VLSI Data Conversion Circuits - Video course COURSE OUTLINE This course covers the analysis and design of CMOS Analog-to-Digital and Digital-to-Analog Converters,with about 7 design assigments.

More information

Fall 2004; E6316: Analog Systems in VLSI; 4 bit Flash A/D converter

Fall 2004; E6316: Analog Systems in VLSI; 4 bit Flash A/D converter Fall 2004; E6316: Analog Systems in VLSI; 4 bit Flash A/D converter Nagendra Krishnapura (nkrishna@vitesse.com) due on 21 Dec. 2004 You are required to design a 4bit Flash A/D converter at 500 MS/s. The

More information

EE247 Lecture 26. EE247 Lecture 26

EE247 Lecture 26. EE247 Lecture 26 EE247 Lecture 26 Administrative Project submission: Project reports due Dec. 5th Please make an appointment with the instructor for a 15minute meeting on Monday Dec. 8 th Prepare to give a 3 to 7 minute

More information

INF4420 Switched capacitor circuits Outline

INF4420 Switched capacitor circuits Outline INF4420 Switched capacitor circuits Spring 2012 1 / 54 Outline Switched capacitor introduction MOSFET as an analog switch z-transform Switched capacitor integrators 2 / 54 Introduction Discrete time analog

More information

Data Converter Topics. Suggested Reference Texts

Data Converter Topics. Suggested Reference Texts Data Converter Topics Basic Operation of Data Converters Uniform sampling and reconstruction Uniform amplitude quantization Characterization and Testing Common ADC/DAC Architectures Selected Topics in

More information

Mixed-Signal-Electronics

Mixed-Signal-Electronics 1 Mixed-Signal-Electronics PD Dr.-Ing. Stephan Henzler 2 Chapter 6 Nyquist Rate Analog-to-Digital Converters 3 Analog-to-Digital Converter Families Architecture Variant Speed Precision Counting Operation

More information

The Case for Oversampling

The Case for Oversampling EE47 Lecture 4 Oversampled ADCs Why oversampling? Pulse-count modulation Sigma-delta modulation 1-Bit quantization Quantization error (noise) spectrum SQNR analysis Limit cycle oscillations nd order ΣΔ

More information

Analogue to Digital Conversion

Analogue to Digital Conversion Analogue to Digital Conversion Turns electrical input (voltage/current) into numeric value Parameters and requirements Resolution the granularity of the digital values Integral NonLinearity proportionality

More information

High-Speed Analog to Digital Converters. ELCT 1003:High Speed ADCs

High-Speed Analog to Digital Converters. ELCT 1003:High Speed ADCs High-Speed Analog to Digital Converters Ann Kotkat Barbara Georgy Mahmoud Tantawi Ayman Sakr Heidi El-Feky Nourane Gamal 1 Outline Introduction. Process of ADC. ADC Specifications. Flash ADC. Pipelined

More information

INF4420. Switched capacitor circuits. Spring Jørgen Andreas Michaelsen

INF4420. Switched capacitor circuits. Spring Jørgen Andreas Michaelsen INF4420 Switched capacitor circuits Spring 2012 Jørgen Andreas Michaelsen (jorgenam@ifi.uio.no) Outline Switched capacitor introduction MOSFET as an analog switch z-transform Switched capacitor integrators

More information

LAYOUT IMPLEMENTATION OF A 10-BIT 1.2 GS/s DIGITAL-TO-ANALOG CONVERTER IN 90nm CMOS

LAYOUT IMPLEMENTATION OF A 10-BIT 1.2 GS/s DIGITAL-TO-ANALOG CONVERTER IN 90nm CMOS LAYOUT IMPLEMENTATION OF A 10-BIT 1.2 GS/s DIGITAL-TO-ANALOG CONVERTER IN 90nm CMOS A thesis submitted in partial fulfilment of the requirements for the degree of Master of Science in Electrical Engineering

More information

Appendix A Comparison of ADC Architectures

Appendix A Comparison of ADC Architectures Appendix A Comparison of ADC Architectures A comparison of continuous-time delta-sigma (CT ), pipeline, and timeinterleaved (TI) SAR ADCs which target wide signal bandwidths (greater than 100 MHz) and

More information

EE247 Lecture 23. EECS 247 Lecture 23 Pipelined ADCs 2008 H.K. Page 1. Pipeline ADC Block Diagram DAC ADC. V res2. Stage 2 B 2.

EE247 Lecture 23. EECS 247 Lecture 23 Pipelined ADCs 2008 H.K. Page 1. Pipeline ADC Block Diagram DAC ADC. V res2. Stage 2 B 2. EE247 Lecture 23 Pipelined ADCs (continued) Effect gain stage, sub-dac non-idealities on overall ADC performance Digital calibration (continued) Correction for inter-stage gain nonlinearity Implementation

More information

EE247 Lecture 11. Switched-Capacitor Filters (continued) Effect of non-idealities Bilinear switched-capacitor filters Filter design summary

EE247 Lecture 11. Switched-Capacitor Filters (continued) Effect of non-idealities Bilinear switched-capacitor filters Filter design summary EE247 Lecture 11 Switched-Capacitor Filters (continued) Effect of non-idealities Bilinear switched-capacitor filters Filter design summary Comparison of various filter topologies Data Converters EECS 247

More information

EE247 Lecture 11. Switched-Capacitor Filters (continued) Effect of non-idealities Bilinear switched-capacitor filters Filter design summary

EE247 Lecture 11. Switched-Capacitor Filters (continued) Effect of non-idealities Bilinear switched-capacitor filters Filter design summary EE47 Lecture 11 Switched-Capacitor Filters (continued) Effect of non-idealities Bilinear switched-capacitor filters Filter design summary Comparison of various filter topologies Data Converters EECS 47

More information

Telecommunication Electronics

Telecommunication Electronics Politecnico di Torino ICT School Telecommunication Electronics C5 - Special A/D converters» Logarithmic conversion» Approximation, A and µ laws» Differential converters» Oversampling, noise shaping Logarithmic

More information

Analogue to Digital Conversion

Analogue to Digital Conversion Analogue to Digital Conversion Turns electrical input (voltage/current) into numeric value Parameters and requirements Resolution the granularity of the digital values Integral NonLinearity proportionality

More information

The counterpart to a DAC is the ADC, which is generally a more complicated circuit. One of the most popular ADC circuit is the successive

The counterpart to a DAC is the ADC, which is generally a more complicated circuit. One of the most popular ADC circuit is the successive 1 The counterpart to a DAC is the ADC, which is generally a more complicated circuit. One of the most popular ADC circuit is the successive approximation converter. 2 3 The idea of sampling is fully covered

More information

Quad 12-Bit Digital-to-Analog Converter (Serial Interface)

Quad 12-Bit Digital-to-Analog Converter (Serial Interface) Quad 1-Bit Digital-to-Analog Converter (Serial Interface) FEATURES COMPLETE QUAD DAC INCLUDES INTERNAL REFERENCES AND OUTPUT AMPLIFIERS GUARANTEED SPECIFICATIONS OVER TEMPERATURE GUARANTEED MONOTONIC OVER

More information

Design of 10-bit current steering DAC with binary and segmented architecture

Design of 10-bit current steering DAC with binary and segmented architecture IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 13, Issue 3 Ver. III (May. June. 2018), PP 62-66 www.iosrjournals.org Design of 10-bit current

More information

A 10 Bit Low Power Current Steering Digital to Analog Converter Using 45 nm CMOS and GDI Logic

A 10 Bit Low Power Current Steering Digital to Analog Converter Using 45 nm CMOS and GDI Logic ISSN 2278 0211 (Online) A 10 Bit Low Power Current Steering Digital to Analog Converter Using 45 nm CMOS and GDI Logic Mehul P. Patel M. E. Student (Electronics & communication Engineering) C.U.Shah College

More information

CHAPTER. delta-sigma modulators 1.0

CHAPTER. delta-sigma modulators 1.0 CHAPTER 1 CHAPTER Conventional delta-sigma modulators 1.0 This Chapter presents the traditional first- and second-order DSM. The main sources for non-ideal operation are described together with some commonly

More information

EE247 Lecture 22. Figures of merit (FOM) and trends for ADCs How to use/not use FOM. EECS 247 Lecture 22: Data Converters 2004 H. K.

EE247 Lecture 22. Figures of merit (FOM) and trends for ADCs How to use/not use FOM. EECS 247 Lecture 22: Data Converters 2004 H. K. EE247 Lecture 22 Pipelined ADCs Combining the bits Stage implementation Circuits Noise budgeting Figures of merit (FOM) and trends for ADCs How to use/not use FOM Oversampled ADCs EECS 247 Lecture 22:

More information

A 1.5-V 14-Bit 100-MS/s Self-Calibrated DAC

A 1.5-V 14-Bit 100-MS/s Self-Calibrated DAC IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 12, DECEMBER 2003 2051 A 1.5-V 14-Bit 100-MS/s Self-Calibrated DAC Yonghua Cong, Student Member, IEEE, and Randall L. Geiger, Fellow, IEEE Abstract Large-area

More information

Preliminary Exam, Fall 2013 Department of Electrical and Computer Engineering University of California, Irvine EECS 170B

Preliminary Exam, Fall 2013 Department of Electrical and Computer Engineering University of California, Irvine EECS 170B Preliminary Exam, Fall 2013 Department of Electrical and Computer Engineering University of California, Irvine EECS 170B Problem 1. Consider the following circuit, where a saw-tooth voltage is applied

More information

EE247 Lecture 24. EE247 Lecture 24

EE247 Lecture 24. EE247 Lecture 24 EE247 Lecture 24 Administrative EE247 Final exam: Date: Wed. Dec. 15 th Time: -12:30pm-3:30pm- Location: 289 Cory Closed book/course notes No calculators/cell phones/pdas/computers Bring one 8x11 paper

More information

Design of 8 Bit Current steering DAC

Design of 8 Bit Current steering DAC Vineet Tiwari 1,Prof.Sanjeev Ranjan 2,Prof. Vivek Baghel 3 1 2 Department of Electronics and Telecommunication Engineering 1 2 Disha Institute of Management & Technology,Raipur,India 3 Department of Electronics

More information

DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS

DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS by Yves Geerts Alcatel Microelectronics, Belgium Michiel Steyaert KU Leuven, Belgium and Willy Sansen KU Leuven,

More information

EE247 Lecture 26. This lecture is taped on Wed. Nov. 28 th due to conflict of regular class hours with a meeting

EE247 Lecture 26. This lecture is taped on Wed. Nov. 28 th due to conflict of regular class hours with a meeting EE47 Lecture 6 This lecture is taped on Wed. Nov. 8 th due to conflict of regular class hours with a meeting Any questions regarding this lecture could be discussed during regular office hours or in class

More information

Tuesday, March 1st, 9:15 11:00. Snorre Aunet Nanoelectronics group Department of Informatics University of Oslo.

Tuesday, March 1st, 9:15 11:00. Snorre Aunet Nanoelectronics group Department of Informatics University of Oslo. Nyquist Analog to Digital it Converters Tuesday, March 1st, 9:15 11:00 Snorre Aunet (sa@ifi.uio.no) Nanoelectronics group Department of Informatics University of Oslo 3.1 Introduction 3.1.1 DAC applications

More information

EE247 Lecture 26. EE247 Lecture 26

EE247 Lecture 26. EE247 Lecture 26 EE247 Lecture 26 Administrative EE247 Final exam: Date: Mon. Dec. 18 th Time: 12:30pm-3:30pm Location: 241 Cory Hall Extra office hours: Thurs. Dec. 14 th, 10:30am-12pm Closed book/course notes No calculators/cell

More information

Advantages of Analog Representation. Varies continuously, like the property being measured. Represents continuous values. See Figure 12.

Advantages of Analog Representation. Varies continuously, like the property being measured. Represents continuous values. See Figure 12. Analog Signals Signals that vary continuously throughout a defined range. Representative of many physical quantities, such as temperature and velocity. Usually a voltage or current level. Digital Signals

More information

EE247 Lecture 12. Midterm exam Tues. Oct. 23rd

EE247 Lecture 12. Midterm exam Tues. Oct. 23rd EE Lecture Administrative issues Midterm exam Tues. Oct. rd o You can only bring one 8x paper with your own written notes (please do not photocopy) o No books, class notes or any other kind of handouts/notes,

More information

Design of Pipeline Analog to Digital Converter

Design of Pipeline Analog to Digital Converter Design of Pipeline Analog to Digital Converter Vivek Tripathi, Chandrajit Debnath, Rakesh Malik STMicroelectronics The pipeline analog-to-digital converter (ADC) architecture is the most popular topology

More information

Calibration of current-steering D/A Converters

Calibration of current-steering D/A Converters Calibration of current-steering D/A Converters Citation for published version (APA): Radulov,. I., Quinn, P. J., Hegt, J. A., & Roermund, van, A. H. M. (2009). Calibration of current-steering D/A Converters.

More information

Low-Power Pipelined ADC Design for Wireless LANs

Low-Power Pipelined ADC Design for Wireless LANs Low-Power Pipelined ADC Design for Wireless LANs J. Arias, D. Bisbal, J. San Pablo, L. Quintanilla, L. Enriquez, J. Vicente, J. Barbolla Dept. de Electricidad y Electrónica, E.T.S.I. de Telecomunicación,

More information

Eliminate Pipeline Headaches with New 12-Bit 3Msps SAR ADC by Dave Thomas and William C. Rempfer

Eliminate Pipeline Headaches with New 12-Bit 3Msps SAR ADC by Dave Thomas and William C. Rempfer A new 12-bit 3Msps ADC brings new levels of performance and ease of use to high speed ADC applications. By raising the speed of the successive approximation (SAR) method to 3Msps, it eliminates the many

More information

Improvement of Output Impedance Modulation Effect of High Speed DAC

Improvement of Output Impedance Modulation Effect of High Speed DAC nternational Conference on Artificial ntelligence and Engineering Applications (AEA 2016) mprovement of Output mpedance Modulation Effect of High Speed DAC Dongmei Zhu a, Xiaodan Zhou b, Jun Liu c, Luncai

More information

4. ERROR CORRECTION IN D/A CONVERTERS

4. ERROR CORRECTION IN D/A CONVERTERS Continuous-time vs. sampled-output DACs Layout techniques Matching Systematic errors Static error correction Static nonlinearities Cancelling tones in test generators Trimming Dynamic element matching

More information

System on a Chip. Prof. Dr. Michael Kraft

System on a Chip. Prof. Dr. Michael Kraft System on a Chip Prof. Dr. Michael Kraft Lecture 5: Data Conversion ADC Background/Theory Examples Background Physical systems are typically analogue To apply digital signal processing, the analogue signal

More information

Analog to Digital Conversion

Analog to Digital Conversion Analog to Digital Conversion Florian Erdinger Lehrstuhl für Schaltungstechnik und Simulation Technische Informatik der Uni Heidelberg VLSI Design - Mixed Mode Simulation F. Erdinger, ZITI, Uni Heidelberg

More information

INTEGRATED CIRCUITS. AN109 Microprocessor-compatible DACs Dec

INTEGRATED CIRCUITS. AN109 Microprocessor-compatible DACs Dec INTEGRATED CIRCUITS 1988 Dec DAC products are designed to convert a digital code to an analog signal. Since a common source of digital signals is the data bus of a microprocessor, DAC circuits that are

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 Lecture 10: Termination & Transmitter Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam

More information

The Real World is Analog ADC are necessary to convert the real world signals (analog) into the digital form for easy processing. Digital Processing

The Real World is Analog ADC are necessary to convert the real world signals (analog) into the digital form for easy processing. Digital Processing Data Converters The Real World is Analog ADC are necessary to convert the real world signals (analog) into the digital form for easy processing ADC Digital Processing (Computer, DSP...) DAC Real World:

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences UNIVERSITY OF AIFORNIA ollege of Engineering Department of Electrical Engineering and omputer Sciences Homework 6 Solution EES 47 H. Khorramabadi Due Tues. November 3, 00 FA 00. A basic NMOS track and

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 8: Charge Pump Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda HW2 is due Oct 6 Exam 1 is

More information

A 8-Bit Hybrid Architecture Current-Steering DAC

A 8-Bit Hybrid Architecture Current-Steering DAC A 8-Bit Hybrid Architecture Current-Steering DAC Mr. Ganesha H.S. 1, Dr. Rekha Bhandarkar 2, Ms. Vijayalatha Devadiga 3 1 Student, Electronics and communication, N.M.A.M. Institute of Technology, Karnataka,

More information

Mixed-Signal-Electronics

Mixed-Signal-Electronics 1 Mixed-Signal-Electronics PD Dr.-Ing. Stephan Henzler 2 Chapter 6 Nyquist Rate Analog-to-Digital Converters 3 Pipelined ADC 2 4 High-Speed ADC: Pipeline Processing Stephan Henzler Advanced Integrated

More information

EE247 Lecture 14. To avoid having EE247 & EE 142 or EE290C midterms on the same day, EE247 midterm moved from Oct. 20 th to Thurs. Oct.

EE247 Lecture 14. To avoid having EE247 & EE 142 or EE290C midterms on the same day, EE247 midterm moved from Oct. 20 th to Thurs. Oct. Administrative issues EE247 Lecture 14 To avoid having EE247 & EE 142 or EE29C midterms on the same day, EE247 midterm moved from Oct. 2 th to Thurs. Oct. 27 th Homework # 4 due on Thurs. Oct. 2 th H.K.

More information

Area Efficient D/A Converters For Accurate DC Operation. Brandon Royce Greenley A THESIS. submitted to. Oregon State University

Area Efficient D/A Converters For Accurate DC Operation. Brandon Royce Greenley A THESIS. submitted to. Oregon State University Area Efficient D/A Converters For Accurate DC Operation by Brandon oyce Greenley A THESIS submitted to Oregon State University in partial fulfillment of the requirements for the degree of Master of Science

More information