EE 435. Lecture 24. Offset Voltages Common Mode Feedback Circuits

Size: px
Start display at page:

Download "EE 435. Lecture 24. Offset Voltages Common Mode Feedback Circuits"

Transcription

1 EE 435 Lecture 24 Offset Voltages Common Mode Feedback Circuits

2 Review from last lecture Offset Voltage Two types of offset voltage: Systematic Offset Voltage Random Offset Voltage V OUT V ICQ fter fabrication it is impossible (difficult) to distinguish between the systematic offset and the random offset in any individual op amp Measurements of offset voltages for a large number of devices will provide mechanism for identifying systematic offset and statistical characteristics of the random offset voltage

3 Review from last lecture Gradient and Local Random Effect 100µm 0.01µm Local Random Effects : Vary Locally With No Correlation Gradient Effects : Locally ppear Linear Magnitude and Direction of Gradients are random Highly Correlated over Short Distances Both Contribute to Offset Both are random variables If Not Managed, Both Can Cause Large Offsets Strategies for minimizing their effects are different Will refer to the local random effects as random and the random gradient effects as gradient effects

4 Review from last lecture Offset Voltage Distribution Gaussian (Normal) pdf number Offset Voltage Bins Typical histogram of offset voltage (binned) after fabrication Mean is nearly 0 (actually the systematic offset voltage)

5 Review from last lecture Source of Random Offset Voltages The random offset voltage is almost entirely that of the input stage in most op amps V X M 3 M 4 V X M 3 M 4 V OUT V OUT V 1 M 1 M 2 V S V 2 V 1 M 1 M 2 V 2 V S I T I T (a) (b)

6 Review from last lecture Random Offset Voltages From a straightforward but tedious analysis it follows that: μ μ COX + 2 VTO n μ W L W L W L W L p L n 2 V EB n σ VOS 2 VTO p W nl n μ n W nl 4 p L w W n L n W p L p L n W n L p W p 2 2 n p n n p p n n p p where the terms VT0, μ, COX, L, and W are process parameters 21mV μ (n-ch) VT0 ; 25mV μ (p-ch) 2 2 μ+ C OX.016μ (n-ch) ;.023μ (p-ch) V X M 3 M 4 V OUT L=W ; 0.017μ 2 2 VTO n μ p L n 2 VOS W 2 VTO p n L n μ n W n L p σ Usually the VT0 terms are dominant, thus the variance simplifies to V 1 M 1 M 2 V S I T V 2

7 Review from last lecture Correspondingly: 2 V OS 2 2 Wn L VTOn n p n Random Offset Voltages L n 2 n p W L 2 VTOp V 2 EBn 4 1 Wn L 2 n 2 L 2 n 1 Wn L 2 n 1 W L p p 1 p W L 2 2 p p 2 COX 2 w L 1 W L n 1 n W 2 n n L 1 W L p 1 p W 2 p p which again simplifies to 2 2 VTO n μ p L n 2 VOS W 2 VTO p n L n μ n W n L p σ 2 + V X M 3 M 4 V OUT V 1 M 1 M 2 V 2 V S Note these offset voltage expressions are identical! I T

8 Review from last lecture Random Offset Voltages V CC V CC Q 3 V X Q 4 Q 3 V X Q 4 V 1 Q 1 Q 2 V 2 V 1 Q 1 Q 2 V 2 V E V E I T I T (a) (b) It can be shown that 2 V OS where very approximately = = 0.1μ Jn ; Jp 2V Jn t En 2 Jp Ep

9 Review from last lecture Random Offset Voltages Typical offset voltages: MOS - 5mV to 50MV BJT - 0.5mV to 5mV These can be scaled with extreme device dimensions Often more practical to include offset-compensation circuitry

10 Common Centroid Layouts Define p to be a process parameter that varies with lateral position throughout the region defined by the channel of the transistor. lmost Theorem: If p(x,y) varies throughout a two-dimensional region, then p EQ 1 p x, y dxdy Parameters such at V T, µ and C OX vary throughout a two-dimensional region

11 y x p EQ 1 p x, y dxdy

12 Common Centroid Layouts lmost Theorem: If p(x,y) varies linearly throughout a two-dimensional region, then p EQ =p(x 0.y 0 ) where x 0,y 0 is the geometric centroid to the region. If a parameter varies linearly throughout a two-dimensional region, it is said to have a linear gradient. Many parameters have a dominantly linear gradient over rather small regions

13 (x 0,y 0 ) (x 0,y 0 ) is geometric centroid p EQ 1 p x, y dxdy If ρ(x,y) varies linearly in any direction, then the theorem states 1 p p x,y dxdy p x,y EQ 0 0

14 Common Centroid Layouts layout of two devices is termed a common-centroid layout if both devices have the same geometric centroid lmost Theorem: If p(x,y) varies linearly throughout a two-dimensional region, then if two devices have the same centroid, the lateral-variable parameters are matched! Note: This is true independent of the magnitude and direction of the gradient!

15 Recall parallel combinations of transistors equivalent to a single transistor of appropriate W,L 2W,L W,L W,L M 1 M 2 M k kw,l W,L W,L W,L

16 Centroids of Segmented Geometries Denotes Geometric Centroid

17 Common Centroid of Multiple Segmented Geometries

18 Common Centroid of Multiple Segmented Geometries

19 Common Centroid Layouts Common centroid layouts widely (almost always) used where matching of devices or components is critical because these layouts will cancel all first-order gradient effects pplies to resistors, capacitors, transistors and other components lways orient all devices in the same way Keep common centroid for interconnects, diffusions, and all features Often dummy devices placed on periphery to improve matching!

20 Common Centroid Layout Surrounded by Dummy Devices

21 Fingers and Multipliers Multiple fingers use shared diffusions Multipliers refer to multiple copies of transistors with individual drains and sources Important to match orientation if overall device matching is required Multiplier = 2 Fingers = 2

22 Fingers and Multipliers lternate Orientations

23 Common-Mode Feedback V OUT M 3 M 4 V OXX V OUT C L V IN M 1 M 2 V IN C L V B2 M 9 Needs CMFB Repeatedly throughout the course, we have added a footnote on fullydifferential circuits that a common-mode feedback circuit (CMFB) is needed The CMFB circuit is needed to establish or stabilize the operating point or operating points of the op amp

24 Common-Mode Feedback V OUT M 3 M 4 V B1 V OUT M 3 M 4 V FB V IN M 1 M 2 V IN C L V OUT V OUT C L V IN M 1 M 2 V IN C L CMFB Circuit V B2 M 9 C L V OXX V B2 M 9 On the reference op amp, the CMFB signal can be applied to either the p- channel biasing transistors or to the tail current transistor It is usually applied only to a small portion of the biasing transistors though often depicted as shown There is often considerable effort devoted to the design of the CMFB though little details are provided in most books and the basic concepts of the CMFB are seldom rigorously developed and often misunderstood

25 Common-Mode Feedback Partitioning biasing transistors for V FB insertion V FB (Nominal device matching assumed, all L s equal) V OUT M 3 M 4 V OUT C L V IN M 1 M 2 V IN C L CMFB Circuit V OXX V B2 M 9 M 3 V B1 M 4 Ideal (Desired) biasing V B1 M 3 M 3B M 4B M 4 V B1 V FB M 3 V FB V FB insertion M 4 Partitioned V FB insertion W +W =W W 3 3B 3 3B <<W 3

26 Basic Operation of CMFB Block V FB V O1 V O2 CMFB Circuit V FB V OUT C L M 3 M 4 V OUT V IN M 1 M 2 V IN C L V OXX CMFB Circuit V B2 M 9 V OXX CMFB Block V O1 verager V VG V FB V O2 V +V V FB= s V OXX V OXX is the desired quiescent voltage at the stabilization node (irrespective of where V FB goes)

27 Basic Operation of CMFB Block CMFB Block V O1 verager V VG V FB V O2 V OXX V +V V FB= s Comprised of two fundamental blocks verager Differential amplifier Sometimes combined into single circuit block Compensation of the CMFB path often required!!

28 Mathematics behind CMFB (consider an example that needs a CMFB) M 5 V B1 M 4 V O1 V OXX V OXX V O2 C 1 C 2 V 1 M 1 M 2 V 2 V YY M 3 Notice there are two capacitors and thus two poles in this circuit

29 Mathematics behind CMFB (consider an example that needs a CMFB) M 5 V B1 M 4 V O1 V OXX V OXX V O2 C 1 C 2 V 1 M 1 M 2 V 2 V YY M 3 g 05 g 04 V O1 V V O2 1 V 2 g m1 V GS1 V GS1 g 01 C 1 C 2 g 02 g m2 V GS2 V GS2 M 3 M 3 M 3 2W 3 =W 3 g 03 /2 g 03 /2 Small-signal model showing axis of symmetry

30 Mathematics behind CMFB (consider an example that needs a CMFB) g05 g04 V O1 V V O2 1 V2 gm1vgs1 gm2vgs2 g 05 VGS1 g01 C 1 C 2 g02 VGS2 g03/2 g03/2 V d 2 g m1 V GS1 V GS1 g 01 V OD C Small-signal difference-mode half circuit d 0 V sc+g +g +g OD m1 g - 2 m1 DIFF= sc+g 01 +g 05 g +g p DIFF= - C V 2 Note there is a single-pole in this circuit What happened to the other pole?

31 Mathematics behind CMFB (consider an example that needs a CMFB) g05 g04 g 05 V O1 V V O2 1 V2 gm1vgs1 gm2vgs2 VGS1 g01 C 1 C 2 g02 VGS2 V COM V OC g03/2 g03/2 g m1 V GS1 V GS1 g 01 C V S g 03 /2 Standard small-signal common-mode half circuit VOC sc+g 01+g 05 +gm1 VCOM -VS 0 V g +g /2 -g V -V V g S m1 COM S OC 01 -gm1 g 01+g 03 /2 g 01+g 03 /2 COM - sc+g +g g +g +g /2 -g g sc+g m m p COM g C 05 Note there is a single-pole in this circuit nd this is different from the difference-mode pole But the common-mode gain tells little, if anything, about the CMFB

32 Mathematics behind CMFB (consider an example that needs a CMFB) g05 g04 g +g / COM ; - 05 p sc+g COM 05 C gm1-2 g 01+g05 DIFF= p sc+g +g DIFF= - C g V O1 V V O2 1 V2 VGS1 gm1vgs1 g01 C 1 C 2 g02 g03/2 g03/2 gm2vgs2 VGS2 Difference-mode analysis completely hides all information about commonmode This also happens in simulations Common-mode analysis completely hides all information about differencemode This also happens in simulations Difference-mode poles may move into RHP with FB so compensation is required for stabilization (or proper operation) Common-mode poles may move into RHP with FB so compensation is required for stabilization (or proper operation) Difference-mode simulations tell nothing about compensation requirements for common-mode feedback Common-mode simulations tell nothing about compensation requirements for difference-mode feedback

33 Mathematics behind CMFB (consider an example that needs a CMFB) g05 g04 g +g / COM ; - 05 p sc+g COM 05 C gm1-2 g 01+g05 DIFF= p sc+g +g DIFF= - C g V O1 V V O2 1 V2 VGS1 gm1vgs1 g01 C 1 C 2 g02 g03/2 g03/2 gm2vgs2 VGS2 Common-mode and difference-mode gain expressions often include same components though some may be completely absent in one or the other mode Compensation capacitors can be large for compensating either the common-mode or difference-mode circuits Highly desirable to have the same compensation capacitor serve as the compensation capacitor for both difference-mode and common-mode operation But tradeoffs may need to be made in phase margin for both modes if this is done Better understanding of common-mode feedback is needed to provide good solutions to the problem

34 Common-Mode and Difference-Mode Issues Overall poles are the union of the common-mode and difference mode poles Separate analysis generally require to determine common-mode and differencemode performance Some amplifiers will need more than one CMFB

35 Common-mode offset voltage M 5 M 4 V O1 V 0XX V COFF V 0XX V O2 C 1 V B1 C 2 M 1 M 2 V C1 V C1 V YY M 3 Definition: The common-mode offset voltage is the voltage that must be applied to the biasing node at the CMFB point to obtain the desired operating point at the stabilization node Note: Could alternately define common-mode offset relative to V YY input if CMFB to M 3

36 Common-mode offset voltage Consider again the Common-mode half circuit V O1 V 0XX M 5 M 4 V 0XX V COFF V O2 C 1 V B1 C 2 M 1 M 2 V C1 V C1 M 4 V COFF V O2 V YY M 3 V XX M 2 V C1 C 2 M 3 M 3 M 3 V YY M 3 There are three common-mode inputs to this circuit! The common-mode signal input is distinct from the input that is affected by V COFF The gain from the common-mode input where V FB is applied may be critical!

37 Common-mode gains M 5 M 4 V C2 M 4 V O1 C 1 V C1 V 0XX V 0XX V COFF V B1 M 1 M 2 V C1 C2 V O2 V O2 V YY M 3 M 2 V C1 M 3 V C3 V02 g 02+g 03 /2 COM - VC1 sc+g04 V02 gm4 COM2 - VC2 sc+g04 V02 g m3 /2 COM3 - V sc+g C3 04 C g 02+g 03 /2 IT 1 COM0 - g I / 2 2 g 04 m4 T EB4 COM20 - g 04 IT /2 VEB 4 T 2 I / V 4 2IT /2 g /2 V 2 m3 EB3 COM30 = - g04 I T /2 VEB3 lthough the common-mode gain COM0 is very small, C0M20 is very large! Shift in V 02Q from V OXX is the product of the common-mode offset voltage and COM20

38 Effect of common-mode offset voltage VDD M5 M4 VO1 V0XX VCOFF V0XX VO2 V C2 C1 VC1 M1 VB1 M2 VC1 C2 M 4 VYY M3 V COFF V O2 V B1 M 2 V C1 C 2 COM20 4 V EB 5 V C3 M 3 V 02 = COM20 VCOFF How much change in V 02 is acceptable? (assume e.g. 50mV) How big is V COFF? How big is COM20? (similar random expressions for V OS, assume, e.g. 25mV) (that due to process variations even larger) (if λ=.01, V EB =.2, COM20 =2000) If change in V 02 is too large, CMFB is needed (50mV >? 2000x25mV)

39 How much gain is needed in the CMFB amplifier? VDD VFB M3 M4 VOUT VOUT VIN M1 M2 VIN CMFB Circuit CL CL VOXX VB2 M9 CMFB Block V O1 verager V VG V FB V O2 V OXX CMFB must compensate for V COFF Want to guarantee V02Q -V 0XX < ΔVOUT-CCEPTBLE This is essentially the small-signal output with a small-signal input of V COFF

40 How much gain is needed in the CMFB amplifier? VDD VFB M3 M4 VOUT VOUT VIN M1 M2 VIN CMFB Circuit CL CL VOXX V C1 M 4 VB2 M9 M 2 V C1 V O2 C 2 V VG V FB V OXX Want to guarantee V C3 M 3 V02Q -V 0XX < ΔVOUT-CCEPTBLE The CMFB Loop Do a small-signal analysis, only input is V COFF V = V +V COFF COM2 V =V 02 COFF 1- COM2 COM2 V =V 0UT-CCEPTBLE COFF 1- COM2 COM2

41 How much gain is needed in the CMFB amplifier? VDD VFB VOUT M3 M4 VOUT V C1 X V C3 M 4 M 2 M 3 Y V C1 V O2 C 2 V VG V OXX V FB VIN VIN M1 M2 CL VB2 M9 V =V 0UT-CCEPTBLE COFF CL VOXX 1- CMFB Circuit COM2 COM2 The CMFB Loop This does not require a particularly large gain This is the loop that must be compensated since and COMP2 will be frequency dependent Miller compensation capacitor for compensation of differential loop will often appear in shunt with C 2 Can create this half-circuit loop (without CM inputs on a fully differential structure) for simulations Results extend readily to two-stage structures with no big surprises Capacitances on nodes X and Y create poles for CMFB circuit Reasonably high closed-loop CMFB bandwidth needed to minimize shifts in output due to high-frequency common-mode noise

42 CMFB Circuits Several (but not too many) CMFB circuits exist Can be classified as either continuous-time or discrete-time I B V OXX I B + V o - V o V 01 M 1 M 2 M 3 M 4 V 02 N 1 N 2 C S C 1 C 1 C S N 2 N 1 V FB M 5 V 01 V 02 N 1 N 2 N 2 N 1 V SS V FB M 6 V SS

43 CMFB Circuits Several (but not too many) CMFB circuits exist Can be classified as either continuous-time or discrete-time I B I B V OXX I B + V o - V o V 01 M 1 M 2 M 3 M 4 V 02 N 1 N 2 C S C 1 C 1 C S N 2 N 1 V FB M 5 V 01 V 02 N 1 N 2 N 2 N 1 V SS V FB M 7 M 6 V SS Circuit in blue can be added to double CMFB gain

44 End of Lecture 24

You will be asked to make the following statement and provide your signature on the top of your solutions.

You will be asked to make the following statement and provide your signature on the top of your solutions. 1 EE 435 Name Exam 1 Spring 2018 Instructions: The points allocated to each problem are as indicated. Note that the first and last problem are weighted more heavily than the rest of the problems. On those

More information

EE 435. Lecture 7: Signal Swing Measurement/Simulation of High Gain Circuits Laboratory Support

EE 435. Lecture 7: Signal Swing Measurement/Simulation of High Gain Circuits Laboratory Support EE 435 Lecture 7: Signal Swing Measurement/Simulation of High Gain Circuits Laboratory Support 1 Review from last lecture: Operation of Op Amp A different perspective D D DD Small signal differential half-circuit

More information

ECE 442 Solid State Devices & Circuits. 15. Differential Amplifiers

ECE 442 Solid State Devices & Circuits. 15. Differential Amplifiers ECE 442 Solid State Devices & Circuits 15. Differential Amplifiers Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jschutt@emlab.uiuc.edu ECE 442 Jose Schutt Aine 1 Background

More information

Chapter 8 Differential and Multistage Amplifiers

Chapter 8 Differential and Multistage Amplifiers 1 Chapter 8 Differential and Multistage Amplifiers Operational Amplifier Circuit Components 2 1. Ch 7: Current Mirrors and Biasing 2. Ch 9: Frequency Response 3. Ch 8: Active-Loaded Differential Pair 4.

More information

Chapter 12 Opertational Amplifier Circuits

Chapter 12 Opertational Amplifier Circuits 1 Chapter 12 Opertational Amplifier Circuits Learning Objectives 1) The design and analysis of the two basic CMOS op-amp architectures: the two-stage circuit and the single-stage, folded cascode circuit.

More information

TWO AND ONE STAGES OTA

TWO AND ONE STAGES OTA TWO AND ONE STAGES OTA F. Maloberti Department of Electronics Integrated Microsystem Group University of Pavia, 7100 Pavia, Italy franco@ele.unipv.it tel. +39-38-50505; fax. +39-038-505677 474 EE Department

More information

EE 435. Lecture 6: Current Mirrors Signal Swing

EE 435. Lecture 6: Current Mirrors Signal Swing EE 435 ecture 6: Current Mirrors Signal Swing 1 Review from last lecture: Where we are at: Basic Op Amp Design Fundamental Amplifier Design Issues Single-Stage ow Gain Op Amps Single-Stage High Gain Op

More information

Homework Assignment EE 435 Homework 4 Spring 2014 Due Wednesday Feb 26

Homework Assignment EE 435 Homework 4 Spring 2014 Due Wednesday Feb 26 Homework Assignment EE 435 Homework 4 Spring 2014 Due Wednesday Feb 26 In the following problems, if reference to a semiconductor process is needed, assume processes with the following characteristics:

More information

Chapter 15 Goals. ac-coupled Amplifiers Example of a Three-Stage Amplifier

Chapter 15 Goals. ac-coupled Amplifiers Example of a Three-Stage Amplifier Chapter 15 Goals ac-coupled multistage amplifiers including voltage gain, input and output resistances, and small-signal limitations. dc-coupled multistage amplifiers. Darlington configuration and cascode

More information

EE 330 Lecture 20. Operating Points for Amplifier Applications Amplification with Transistor Circuits Small Signal Modelling

EE 330 Lecture 20. Operating Points for Amplifier Applications Amplification with Transistor Circuits Small Signal Modelling EE 330 Lecture 20 Operating Points for Amplifier Applications Amplification with Transistor Circuits Small Signal Modelling Review from Last Lecture Simplified Multi-Region Model Alternate equivalent model

More information

Solid State Devices & Circuits. 18. Advanced Techniques

Solid State Devices & Circuits. 18. Advanced Techniques ECE 442 Solid State Devices & Circuits 18. Advanced Techniques Jose E. Schutt-Aine Electrical l&c Computer Engineering i University of Illinois jschutt@emlab.uiuc.edu 1 Darlington Configuration - Popular

More information

Electronic Devices and Circuits Lecture 20 - Linear Amp. Analysis and Design I - Outline Announcements. )/2 [v IN1.

Electronic Devices and Circuits Lecture 20 - Linear Amp. Analysis and Design I - Outline Announcements. )/2 [v IN1. 6.012 Electronic Devices and Circuits Lecture 20 Linear Amp. Analysis and Design I Outline Announcements Handouts Lecture Outline and Summary Announcements Design Problem due in under two weeks Review

More information

Experiment 1: Amplifier Characterization Spring 2019

Experiment 1: Amplifier Characterization Spring 2019 Experiment 1: Amplifier Characterization Spring 2019 Objective: The objective of this experiment is to develop methods for characterizing key properties of operational amplifiers Note: We will be using

More information

You will be asked to make the following statement and provide your signature on the top of your solutions.

You will be asked to make the following statement and provide your signature on the top of your solutions. 1 EE 435 Name Exam 1 Spring 216 Instructions: The points allocated to each problem are as indicated. Note that the first and last problem are weighted more heavily than the rest of the problems. On those

More information

Microelectronic Circuits - Fifth Edition Sedra/Smith Copyright 2004 by Oxford University Press, Inc.

Microelectronic Circuits - Fifth Edition Sedra/Smith Copyright 2004 by Oxford University Press, Inc. Feedback 1 Figure 8.1 General structure of the feedback amplifier. This is a signal-flow diagram, and the quantities x represent either voltage or current signals. 2 Figure E8.1 3 Figure 8.2 Illustrating

More information

ECE 546 Lecture 12 Integrated Circuits

ECE 546 Lecture 12 Integrated Circuits ECE 546 Lecture 12 Integrated Circuits Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu ECE 546 Jose Schutt Aine 1 Integrated Circuits IC Requirements

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 Lecture 12 1 MOSFET vs. BJT current-voltage characteristic 1.5 10 3 i C ( v) i D ( v) 1 10 3 500 0 2 4 6 8 10 v The drain current

More information

Integrated Circuit Amplifiers. Comparison of MOSFETs and BJTs

Integrated Circuit Amplifiers. Comparison of MOSFETs and BJTs Integrated Circuit Amplifiers Comparison of MOSFETs and BJTs 17 Typical CMOS Device Parameters 0.8 µm 0.25 µm 0.13 µm Parameter NMOS PMOS NMOS PMOS NMOS PMOS t ox (nm) 15 15 6 6 2.7 2.7 C ox (ff/µm 2 )

More information

EECS3611 Analog Integrated Circuit Design. Lecture 3. Current Source and Current Mirror

EECS3611 Analog Integrated Circuit Design. Lecture 3. Current Source and Current Mirror EECS3611 Analog ntegrated Circuit Design Lecture 3 Current Source and Current Mirror ntroduction Before any device can be used in any application, it has to be properly biased so that small signal AC parameters

More information

EE 501 Lab 4 Design of two stage op amp with miller compensation

EE 501 Lab 4 Design of two stage op amp with miller compensation EE 501 Lab 4 Design of two stage op amp with miller compensation Objectives: 1. Design a two stage op amp 2. Investigate how to miller compensate a two-stage operational amplifier. Tasks: 1. Build a two-stage

More information

Lecture 3 Switched-Capacitor Circuits Trevor Caldwell

Lecture 3 Switched-Capacitor Circuits Trevor Caldwell Advanced Analog Circuits Lecture 3 Switched-Capacitor Circuits Trevor Caldwell trevor.caldwell@analog.com Lecture Plan Date Lecture (Wednesday 2-4pm) Reference Homework 2017-01-11 1 MOD1 & MOD2 ST 2, 3,

More information

Basic OpAmp Design and Compensation. Chapter 6

Basic OpAmp Design and Compensation. Chapter 6 Basic OpAmp Design and Compensation Chapter 6 6.1 OpAmp applications Typical applications of OpAmps in analog integrated circuits: (a) Amplification and filtering (b) Biasing and regulation (c) Switched-capacitor

More information

Advanced OPAMP Design

Advanced OPAMP Design Advanced OPAMP Design Two Stage OPAMP with Cascoding To increase the gain, the idea of cascoding can be combined with the idea of cascading. A two stage amplifier with one stage being cascode is possible.

More information

Lab 2: Discrete BJT Op-Amps (Part I)

Lab 2: Discrete BJT Op-Amps (Part I) Lab 2: Discrete BJT Op-Amps (Part I) This is a three-week laboratory. You are required to write only one lab report for all parts of this experiment. 1.0. INTRODUCTION In this lab, we will introduce and

More information

Design Analysis and Performance Comparison of Low Power High Gain 2nd Stage Differential Amplifier Along with 1st Stage

Design Analysis and Performance Comparison of Low Power High Gain 2nd Stage Differential Amplifier Along with 1st Stage Design Analysis and Performance Comparison of Low Power High Gain 2nd Stage Differential Amplifier Along with 1st Stage Sadeque Reza Khan Department of Electronic and Communication Engineering, National

More information

ECE315 / ECE515 Lecture 5 Date:

ECE315 / ECE515 Lecture 5 Date: Lecture 5 ate: 20.08.2015 MOSFET Small Signal Models, and Analysis Common Source Amplifier Introduction MOSFET Small Signal Model To determine the small-signal performance of a given MOSFET amplifier circuit,

More information

Analog Integrated Circuits. Lecture 4: Differential Amplifiers

Analog Integrated Circuits. Lecture 4: Differential Amplifiers Analog Integrated Circuits Lecture 4: Differential Amplifiers ELC 601 Fall 2013 Dr. Ahmed Nader Dr. Mohamed M. Aboudina anader@ieee.org maboudina@gmail.com Department of Electronics and Communications

More information

Building Blocks of Integrated-Circuit Amplifiers

Building Blocks of Integrated-Circuit Amplifiers Building Blocks of ntegrated-circuit Amplifiers 1 The Basic Gain Cell CS and CE Amplifiers with Current Source Loads Current-source- or active-loaded CS amplifier Rin A o R A o g r r o g r 0 m o m o Current-source-

More information

Problem 1. Final Exam Spring 2018 (Reposted 11p.m. on April 30)

Problem 1. Final Exam Spring 2018 (Reposted 11p.m. on April 30) EE 435 Final Exam Spring 2018 (Reposted 11p.m. on April 30) Name Instructions: This is an open-book, open-notes exam. It is due in the office of the course instructor by 12:00 noon on Wednesday May 2.

More information

Chapter 10 Differential Amplifiers

Chapter 10 Differential Amplifiers Chapter 10 Differential Amplifiers 10.1 General Considerations 10.2 Bipolar Differential Pair 10.3 MOS Differential Pair 10.4 Cascode Differential Amplifiers 10.5 Common-Mode Rejection 10.6 Differential

More information

Tuesday, March 22nd, 9:15 11:00

Tuesday, March 22nd, 9:15 11:00 Nonlinearity it and mismatch Tuesday, March 22nd, 9:15 11:00 Snorre Aunet (sa@ifi.uio.no) Nanoelectronics group Department of Informatics University of Oslo Last time and today, Tuesday 22nd of March:

More information

Applied Electronics II

Applied Electronics II Applied Electronics II Chapter 3: Operational Amplifier Part 1- Op Amp Basics School of Electrical and Computer Engineering Addis Ababa Institute of Technology Addis Ababa University Daniel D./Getachew

More information

Lecture 300 Low Voltage Op Amps (3/28/10) Page 300-1

Lecture 300 Low Voltage Op Amps (3/28/10) Page 300-1 Lecture 300 Low Voltage Op Amps (3/28/10) Page 300-1 LECTURE 300 LOW VOLTAGE OP AMPS LECTURE ORGANIZATION Outline Introduction Low voltage input stages Low voltage gain stages Low voltage bias circuits

More information

IOWA STATE UNIVERSITY. EE501 Project. Fully Differential Multi-Stage Op-Amp Design. Ryan Boesch 11/12/2008

IOWA STATE UNIVERSITY. EE501 Project. Fully Differential Multi-Stage Op-Amp Design. Ryan Boesch 11/12/2008 IOWA STATE UNIVERSITY EE501 Project Fully Differential Multi-Stage Op-Amp Design Ryan Boesch 11/12/2008 This report documents the design, simulation, layout, and post-layout simulation of a fully differential

More information

Week 9a OUTLINE. MOSFET I D vs. V GS characteristic Circuit models for the MOSFET. Reading. resistive switch model small-signal model

Week 9a OUTLINE. MOSFET I D vs. V GS characteristic Circuit models for the MOSFET. Reading. resistive switch model small-signal model Week 9a OUTLINE MOSFET I vs. V GS characteristic Circuit models for the MOSFET resistive switch model small-signal model Reading Rabaey et al.: Chapter 3.3.2 Hambley: Chapter 12 (through 12.5); Section

More information

INF3410 Fall Book Chapter 6: Basic Opamp Design and Compensation

INF3410 Fall Book Chapter 6: Basic Opamp Design and Compensation INF3410 Fall 2015 Book Chapter 6: Basic Opamp Design and Compensation content Introduction Two Stage Opamps Compensation Slew Rate Systematic Offset Advanced Current Mirrors Operational Transconductance

More information

Basic Circuits. Current Mirror, Gain stage, Source Follower, Cascode, Differential Pair,

Basic Circuits. Current Mirror, Gain stage, Source Follower, Cascode, Differential Pair, Basic Circuits Current Mirror, Gain stage, Source Follower, Cascode, Differential Pair, CCS - Basic Circuits P. Fischer, ZITI, Uni Heidelberg, Seite 1 Reminder: Effect of Transistor Sizes Very crude classification:

More information

Low Dropout Voltage Regulator Operation and Performance Review

Low Dropout Voltage Regulator Operation and Performance Review Low Drop Voltage Regulator peration and Performance Review Eric Chen & Alex Leng ntroduction n today s power management systems, high power efficiency becomes necessary to maximize the lifetime of the

More information

A Low Power Low Voltage High Performance CMOS Current Mirror

A Low Power Low Voltage High Performance CMOS Current Mirror RESEARCH ARTICLE OPEN ACCESS A Low Power Low Voltage High Performance CMOS Current Mirror Sirish Rao, Sampath Kumar V Department of Electronics & Communication JSS Academy of Technical Education Noida,

More information

Radivoje Đurić, 2015, Analogna Integrisana Kola 1

Radivoje Đurić, 2015, Analogna Integrisana Kola 1 Low power OTA 1 Two-Stage, Miller Op Amp Operating in Weak Inversion Low frequency response: gm1 gm6 Av 0 g g g g A v 0 ds2 ds4 ds6 ds7 I D m, ds D nvt g g I n GB and SR: GB 1 1 n 1 2 4 6 6 7 g 2 2 m1

More information

EE 330 Lecture 33. High Gain Amplifiers Current Sources and Mirrors The Cascode Configuration

EE 330 Lecture 33. High Gain Amplifiers Current Sources and Mirrors The Cascode Configuration EE 330 Lecture 33 Hih Gain mplifiers Current Sources and Mirrors The Cascode Confiuration Review from Last Lecture Hih-ain amplifier V DD I B i B V BE π m V BE 0 V EE This ain is very lare (but realistic)!

More information

Lecture #2 Operational Amplifiers

Lecture #2 Operational Amplifiers Spring 2015 Benha University Faculty of Engineering at Shoubra ECE-322 Electronic Circuits (B) Lecture #2 Operational Amplifiers Instructor: Dr. Ahmad El-Banna Agenda Introduction Op-Amps Input Modes and

More information

A low voltage rail-to-rail operational amplifier with constant operation and improved process robustness

A low voltage rail-to-rail operational amplifier with constant operation and improved process robustness Graduate Theses and Dissertations Graduate College 2009 A low voltage rail-to-rail operational amplifier with constant operation and improved process robustness Rien Lerone Beal Iowa State University Follow

More information

EE301 Electronics I , Fall

EE301 Electronics I , Fall EE301 Electronics I 2018-2019, Fall 1. Introduction to Microelectronics (1 Week/3 Hrs.) Introduction, Historical Background, Basic Consepts 2. Rewiev of Semiconductors (1 Week/3 Hrs.) Semiconductor materials

More information

A -100 db THD, 120 db SNR programmable gain amplifier in a 3.3 V, 0.5µm CMOS process

A -100 db THD, 120 db SNR programmable gain amplifier in a 3.3 V, 0.5µm CMOS process A -100 db THD, 120 db SNR programmable gain amplifier in a 3.3 V, 0.5µm CMOS process Eric COMPAGNE (1), Gilbert MARTEL and Patrice SENN (2) (1) DOLPHIN INTEGRATION BP 65 - ZIRST 38242 MEYLAN Cédex FRANCE

More information

Chapter 5. Operational Amplifiers and Source Followers. 5.1 Operational Amplifier

Chapter 5. Operational Amplifiers and Source Followers. 5.1 Operational Amplifier Chapter 5 Operational Amplifiers and Source Followers 5.1 Operational Amplifier In single ended operation the output is measured with respect to a fixed potential, usually ground, whereas in double-ended

More information

Chapter 13: Introduction to Switched- Capacitor Circuits

Chapter 13: Introduction to Switched- Capacitor Circuits Chapter 13: Introduction to Switched- Capacitor Circuits 13.1 General Considerations 13.2 Sampling Switches 13.3 Switched-Capacitor Amplifiers 13.4 Switched-Capacitor Integrator 13.5 Switched-Capacitor

More information

INF3410 Fall Book Chapter 6: Basic Opamp Design and Compensation

INF3410 Fall Book Chapter 6: Basic Opamp Design and Compensation INF3410 Fall 2013 Compensation content Introduction Two Stage Opamps Compensation Slew Rate Systematic Offset Advanced Current Mirrors Operational Transconductance Amplifiers Current Mirror Opamps Folded

More information

AMPLIFIER DESIGN FOR FAST SETTLING PERFORMANCE

AMPLIFIER DESIGN FOR FAST SETTLING PERFORMANCE AMLIFIER DESIGN FOR FAST SETTLING ERFORMANCE by Yiqin Chen * (ychen@rocketchips.com) Mark E. Schlarmann ** (schlarmann@ieee.org) Dr. Randall L. Geiger ** (rlgeiger@iastate.edu) Iowa State University Ames,

More information

C H A P T E R 02. Operational Amplifiers

C H A P T E R 02. Operational Amplifiers C H A P T E R 02 Operational Amplifiers The Op-amp Figure 2.1 Circuit symbol for the op amp. Figure 2.2 The op amp shown connected to dc power supplies. The Ideal Op-amp 1. Infinite input impedance 2.

More information

LECTURE 19 DIFFERENTIAL AMPLIFIER

LECTURE 19 DIFFERENTIAL AMPLIFIER Lecture 19 Differential Amplifier (6/4/14) Page 191 LECTURE 19 DIFFERENTIAL AMPLIFIER LECTURE ORGANIZATION Outline Characterization of a differential amplifier Differential amplifier with a current mirror

More information

Lecture 20 Transistor Amplifiers (II) Other Amplifier Stages. November 17, 2005

Lecture 20 Transistor Amplifiers (II) Other Amplifier Stages. November 17, 2005 6.012 Microelectronic Devices and Circuits Fall 2005 Lecture 20 1 Lecture 20 Transistor Amplifiers (II) Other Amplifier Stages November 17, 2005 Contents: 1. Common source amplifier (cont.) 2. Common drain

More information

EE 435. Lecture 4 Spring Fully Differential Single-Stage Amplifier Design

EE 435. Lecture 4 Spring Fully Differential Single-Stage Amplifier Design EE 435 Lecture 4 Spring 018 ully Differential Single-Stage Amplifier Design eneral Differential Analysis 5T Op Amp from simple quarter circuit Biasing with CMB circuit Common-mode and differential-mode

More information

PURPOSE: NOTE: Be sure to record ALL results in your laboratory notebook.

PURPOSE: NOTE: Be sure to record ALL results in your laboratory notebook. EE4902 Lab 9 CMOS OP-AMP PURPOSE: The purpose of this lab is to measure the closed-loop performance of an op-amp designed from individual MOSFETs. This op-amp, shown in Fig. 9-1, combines all of the major

More information

Chapter 13 Oscillators and Data Converters

Chapter 13 Oscillators and Data Converters Chapter 13 Oscillators and Data Converters 13.1 General Considerations 13.2 Ring Oscillators 13.3 LC Oscillators 13.4 Phase Shift Oscillator 13.5 Wien-Bridge Oscillator 13.6 Crystal Oscillators 13.7 Chapter

More information

EE 435. Lecture 4 Spring Fully Differential Single-Stage Amplifier Design

EE 435. Lecture 4 Spring Fully Differential Single-Stage Amplifier Design EE 435 Lecture 4 Spring 019 ully Differential Single-Stage Amplifier Design General Differential Analysis 5T Op Amp from simple quarter circuit Biasing with CMB circuit Common-mode and differential-mode

More information

Chapter 10 Feedback ECE 3120 Microelectronics II Dr. Suketu Naik

Chapter 10 Feedback ECE 3120 Microelectronics II Dr. Suketu Naik 1 Chapter 10 Feedback Operational Amplifier Circuit Components 2 1. Ch 7: Current Mirrors and Biasing 2. Ch 9: Frequency Response 3. Ch 8: Active-Loaded Differential Pair 4. Ch 10: Feedback 5. Ch 11: Output

More information

EE 140 / EE 240A ANALOG INTEGRATED CIRCUITS FALL 2015 C. Nguyen PROBLEM SET #7

EE 140 / EE 240A ANALOG INTEGRATED CIRCUITS FALL 2015 C. Nguyen PROBLEM SET #7 Issued: Friday, Oct. 16, 2015 PROBLEM SET #7 Due (at 8 a.m.): Monday, Oct. 26, 2015, in the EE 140/240A HW box near 125 Cory. 1. A design error has resulted in a mismatch in the circuit of Fig. PS7-1.

More information

EE 230 Lab Lab 9. Prior to Lab

EE 230 Lab Lab 9. Prior to Lab MOS transistor characteristics This week we look at some MOS transistor characteristics and circuits. Most of the measurements will be done with our usual lab equipment, but we will also use the parameter

More information

ECEN 474/704 Lab 5: Frequency Response of Inverting Amplifiers

ECEN 474/704 Lab 5: Frequency Response of Inverting Amplifiers ECEN 474/704 Lab 5: Frequency Response of Inverting Amplifiers Objective Design, simulate and layout various inverting amplifiers. Introduction Inverting amplifiers are fundamental building blocks of electronic

More information

Index. Small-Signal Models, 14 saturation current, 3, 5 Transistor Cutoff Frequency, 18 transconductance, 16, 22 transit time, 10

Index. Small-Signal Models, 14 saturation current, 3, 5 Transistor Cutoff Frequency, 18 transconductance, 16, 22 transit time, 10 Index A absolute value, 308 additional pole, 271 analog multiplier, 190 B BiCMOS,107 Bode plot, 266 base-emitter voltage, 16, 50 base-emitter voltages, 296 bias current, 111, 124, 133, 137, 166, 185 bipolar

More information

Microelectronic Circuits II. Ch 10 : Operational-Amplifier Circuits

Microelectronic Circuits II. Ch 10 : Operational-Amplifier Circuits Microelectronic Circuits II Ch 0 : Operational-Amplifier Circuits 0. The Two-stage CMOS Op Amp 0.2 The Folded-Cascode CMOS Op Amp CNU EE 0.- Operational-Amplifier Introduction - Analog ICs : operational

More information

ECEN 474/704 Lab 6: Differential Pairs

ECEN 474/704 Lab 6: Differential Pairs ECEN 474/704 Lab 6: Differential Pairs Objective Design, simulate and layout various differential pairs used in different types of differential amplifiers such as operational transconductance amplifiers

More information

Operational Amplifier with Two-Stage Gain-Boost

Operational Amplifier with Two-Stage Gain-Boost Proceedings of the 6th WSEAS International Conference on Simulation, Modelling and Optimization, Lisbon, Portugal, September 22-24, 2006 482 Operational Amplifier with Two-Stage Gain-Boost FRANZ SCHLÖGL

More information

Analog Integrated Circuit Design Exercise 1

Analog Integrated Circuit Design Exercise 1 Analog Integrated Circuit Design Exercise 1 Integrated Electronic Systems Lab Prof. Dr.-Ing. Klaus Hofmann M.Sc. Katrin Hirmer, M.Sc. Sreekesh Lakshminarayanan Status: 21.10.2015 Pre-Assignments The lecture

More information

Integrated Circuit: Classification:

Integrated Circuit: Classification: Integrated Circuit: It is a miniature, low cost electronic circuit consisting of active and passive components that are irreparably joined together on a single crystal chip of silicon. Classification:

More information

Analysis and Design of Analog Integrated Circuits Lecture 18. Key Opamp Specifications

Analysis and Design of Analog Integrated Circuits Lecture 18. Key Opamp Specifications Analysis and Design of Analog Integrated Circuits Lecture 8 Key Opamp Specifications Michael H. Perrott April 8, 0 Copyright 0 by Michael H. Perrott All rights reserved. Recall: Key Specifications of Opamps

More information

Lecture 240 Cascode Op Amps (3/28/10) Page 240-1

Lecture 240 Cascode Op Amps (3/28/10) Page 240-1 Lecture 240 Cascode Op Amps (3/28/10) Page 2401 LECTURE 240 CASCODE OP AMPS LECTURE ORGANIZATION Outline Lecture Organization Single Stage Cascode Op Amps Two Stage Cascode Op Amps Summary CMOS Analog

More information

Lecture 34: Designing amplifiers, biasing, frequency response. Context

Lecture 34: Designing amplifiers, biasing, frequency response. Context Lecture 34: Designing amplifiers, biasing, frequency response Prof J. S. Smith Context We will figure out more of the design parameters for the amplifier we looked at in the last lecture, and then we will

More information

Design and Analysis of Low Power Two Stage CMOS Op- Amp with 50nm Technology

Design and Analysis of Low Power Two Stage CMOS Op- Amp with 50nm Technology Design and Analysis of Low Power Two Stage CMOS Op- Amp with 50nm Technology Swetha Velicheti, Y. Sandhyarani, P.Praveen kumar, B.Umamaheshrao Assistant Professor, Dept. of ECE, SSCE, Srikakulam, A.P.,

More information

Differential Amplifiers. EE105 - Spring 2007 Microelectronic Devices and Circuits. Audio Amplifier Example. Small-Signal Model for Bipolar Transistor

Differential Amplifiers. EE105 - Spring 2007 Microelectronic Devices and Circuits. Audio Amplifier Example. Small-Signal Model for Bipolar Transistor EE105 - Spring 007 Microelectronic Devices and Circuits Lecture 8 Differential Amplifiers Differential Amplifiers General Considerations MOS Differential Pair Cascode Differential Amplifiers Common-Mode

More information

Operational Amplifiers

Operational Amplifiers CHAPTER 9 Operational Amplifiers Analog IC Analysis and Design 9- Chih-Cheng Hsieh Outline. General Consideration. One-Stage Op Amps / Two-Stage Op Amps 3. Gain Boosting 4. Common-Mode Feedback 5. Input

More information

A 24 V Chopper Offset-Stabilized Operational Amplifier with Symmetrical RC Notch Filters having sub-10 µv offset and over-120db CMRR

A 24 V Chopper Offset-Stabilized Operational Amplifier with Symmetrical RC Notch Filters having sub-10 µv offset and over-120db CMRR ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 20, Number 4, 2017, 301 312 A 24 V Chopper Offset-Stabilized Operational Amplifier with Symmetrical RC Notch Filters having sub-10 µv offset

More information

CHAPTER 8 DIFFERENTIAL AND MULTISTAGE AMPLIFIERS

CHAPTER 8 DIFFERENTIAL AND MULTISTAGE AMPLIFIERS CHAPTER 8 DIFFERENTIAL AND MULTISTAGE AMPLIFIERS Chapter Outline 8.1 The CMOS Differential Pair 8. Small-Signal Operations of the MOS Differential Pair 8.3 The BJT Differential Pair 8.4 Other Non-ideal

More information

Radivoje Đurić, 2015, Analogna Integrisana Kola 1

Radivoje Đurić, 2015, Analogna Integrisana Kola 1 OTA-output buffer 1 According to the types of loads, the driving capability of the output stages differs. For switched capacitor circuits which have high impedance capacitive loads, class A output stage

More information

EE 230 Fall 2006 Experiment 11. Small Signal Linear Operation of Nonlinear Devices

EE 230 Fall 2006 Experiment 11. Small Signal Linear Operation of Nonlinear Devices EE 230 Fall 2006 Experiment 11 Small Signal Linear Operation of Nonlinear Devices Purpose: The purpose of this laboratory experiment is to investigate the use of small signal concepts for designing and

More information

Lecture 030 ECE4430 Review III (1/9/04) Page 030-1

Lecture 030 ECE4430 Review III (1/9/04) Page 030-1 Lecture 030 ECE4430 Review III (1/9/04) Page 0301 LECTURE 030 ECE 4430 REVIEW III (READING: GHLM Chaps. 3 and 4) Objective The objective of this presentation is: 1.) Identify the prerequisite material

More information

EE 330 Laboratory 8 Discrete Semiconductor Amplifiers

EE 330 Laboratory 8 Discrete Semiconductor Amplifiers EE 330 Laboratory 8 Discrete Semiconductor Amplifiers Fall 2017 Contents Objective:... 2 Discussion:... 2 Components Needed:... 2 Part 1 Voltage Controlled Amplifier... 2 Part 2 Common Source Amplifier...

More information

Experiment #7 MOSFET Dynamic Circuits II

Experiment #7 MOSFET Dynamic Circuits II Experiment #7 MOSFET Dynamic Circuits II Jonathan Roderick Introduction The previous experiment introduced the canonic cells for MOSFETs. The small signal model was presented and was used to discuss the

More information

The Differential Amplifier. BJT Differential Pair

The Differential Amplifier. BJT Differential Pair 1 The Differential Amplifier Asst. Prof. MONTREE SRPRUCHYANUN, D. Eng. Dept. of Teacher Training in Electrical Engineering, Faculty of Technical Education King Mongkut s nstitute of Technology North Bangkok

More information

Revision History. Contents

Revision History. Contents Revision History Ver. # Rev. Date Rev. By Comment 0.0 9/15/2012 Initial draft 1.0 9/16/2012 Remove class A part 2.0 9/17/2012 Comments and problem 2 added 3.0 10/3/2012 cmdmprobe re-simulation, add supplement

More information

Voltage Biasing Considerations (From the CS atom toward the differential pair atom) Claudio Talarico, Gonzaga University

Voltage Biasing Considerations (From the CS atom toward the differential pair atom) Claudio Talarico, Gonzaga University Voltage Biasing Considerations (From the CS atom toward the differential pair atom) Claudio Talarico, Gonzaga University Voltage Biasing Considerations In addition to bias currents, building a complete

More information

Basic Electronics Prof. Dr. Chitralekha Mahanta Department of Electronics and Communication Engineering Indian Institute of Technology, Guwahati

Basic Electronics Prof. Dr. Chitralekha Mahanta Department of Electronics and Communication Engineering Indian Institute of Technology, Guwahati Basic Electronics Prof. Dr. Chitralekha Mahanta Department of Electronics and Communication Engineering Indian Institute of Technology, Guwahati Module: 3 Field Effect Transistors Lecture-8 Junction Field

More information

University of Pittsburgh

University of Pittsburgh University of Pittsburgh Experiment #4 Lab Report MOSFET Amplifiers and Current Mirrors Submission Date: 07/03/2018 Instructors: Dr. Ahmed Dallal Shangqian Gao Submitted By: Nick Haver & Alex Williams

More information

ECE4902 C Lab 5 MOSFET Common Source Amplifier with Active Load Bandwidth of MOSFET Common Source Amplifier: Resistive Load / Active Load

ECE4902 C Lab 5 MOSFET Common Source Amplifier with Active Load Bandwidth of MOSFET Common Source Amplifier: Resistive Load / Active Load ECE4902 C2012 - Lab 5 MOSFET Common Source Amplifier with Active Load Bandwidth of MOSFET Common Source Amplifier: Resistive Load / Active Load PURPOSE: The primary purpose of this lab is to measure the

More information

EE 330 Laboratory 7 MOSFET Device Experimental Characterization and Basic Applications Spring 2017

EE 330 Laboratory 7 MOSFET Device Experimental Characterization and Basic Applications Spring 2017 EE 330 Laboratory 7 MOSFET Device Experimental Characterization and Basic Applications Spring 2017 Objective: The objective of this laboratory experiment is to become more familiar with the operation of

More information

Laboratory #9 MOSFET Biasing and Current Mirror

Laboratory #9 MOSFET Biasing and Current Mirror Laboratory #9 MOSFET Biasing and Current Mirror. Objectives 1. Review the MOSFET characteristics and transfer function. 2. Understand the relationship between the bias, the input signal and the output

More information

ISSN:

ISSN: 468 Modeling and Design of a CMOS Low Drop-out (LDO) Voltage Regulator PRIYADARSHINI JAINAPUR 1, CHIRAG SHARMA 2 1 Department of E&CE, Nitte Meenakshi Institute of Technology, Yelahanka, Bangalore-560064,

More information

Lecture 19 - Transistor Amplifiers (I) Common-Source Amplifier. April 24, 2001

Lecture 19 - Transistor Amplifiers (I) Common-Source Amplifier. April 24, 2001 6.012 Microelectronic Devices and Circuits Spring 2001 Lecture 191 Lecture 19 Transistor Amplifiers (I) CommonSource Amplifier April 24, 2001 Contents: 1. Amplifier fundamentals 2. Commonsource amplifier

More information

Chapter 4 Single-stage MOS amplifiers

Chapter 4 Single-stage MOS amplifiers Chapter 4 Single-stage MOS amplifiers ELEC-H402/CH4: Single-stage MOS amplifiers 1 Single-stage MOS amplifiers NMOS as an amplifier: example of common-source circuit NMOS amplifier example Introduction

More information

ES330 Laboratory Experiment No. 9 Bipolar Differential Amplifier [Reference: Sedra/Smith (Chapter 9; Section 9.2; pp )]

ES330 Laboratory Experiment No. 9 Bipolar Differential Amplifier [Reference: Sedra/Smith (Chapter 9; Section 9.2; pp )] ES330 Laboratory Experiment No. 9 Bipolar Differential Amplifier [Reference: Sedra/Smith (Chapter 9; Section 9.2; pp. 614-627)] Objectives: 1. Explore the operation of a bipolar junction transistor differential

More information

BJT Amplifier. Superposition principle (linear amplifier)

BJT Amplifier. Superposition principle (linear amplifier) BJT Amplifier Two types analysis DC analysis Applied DC voltage source AC analysis Time varying signal source Superposition principle (linear amplifier) The response of a linear amplifier circuit excited

More information

QUESTION BANK for Analog Electronics 4EC111 *

QUESTION BANK for Analog Electronics 4EC111 * OpenStax-CNX module: m54983 1 QUESTION BANK for Analog Electronics 4EC111 * Bijay_Kumar Sharma This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 4.0 Abstract

More information

EE 435 Lecture 15. Two-Stage Op Amp Design

EE 435 Lecture 15. Two-Stage Op Amp Design EE 435 Lecture 15 Two-Stage Op Amp Design Review from Last Time Cascaded Amplifier Issues A A 0 p s p Single-stage amplifiers -- widely used in industry, little or no concern about compensation Two amplifier

More information

Design of Analog CMOS Integrated Circuits

Design of Analog CMOS Integrated Circuits Design of Analog CMOS Integrated Circuits Behzad Razavi Professor of Electrical Engineering University of California, Los Angeles H Boston Burr Ridge, IL Dubuque, IA Madison, WI New York San Francisco

More information

6.002 Circuits and Electronics Final Exam Practice Set 1

6.002 Circuits and Electronics Final Exam Practice Set 1 MASSACHUSETTS INSTITUTE OF TECHNOLOGY DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE 6.002 Circuits and Electronics Set 1 Problem 1 Figure 1 shows a simplified small-signal model of a certain

More information

A High-Gain, Low-Power CMOS Operational Amplifier Using Composite Cascode Stage in the Subthreshold Region

A High-Gain, Low-Power CMOS Operational Amplifier Using Composite Cascode Stage in the Subthreshold Region Brigham Young University BYU ScholarsArchive All Theses and Dissertations 2011-03-15 A High-Gain, Low-Power CMOS Operational Amplifier Using Composite Cascode Stage in the Subthreshold Region Rishi Pratap

More information

Microelectronics Part 2: Basic analog CMOS circuits

Microelectronics Part 2: Basic analog CMOS circuits GBM830 Dispositifs Médicaux Intelligents Microelectronics Part : Basic analog CMOS circuits Mohamad Sawan et al. Laboratoire de neurotechnologies Polystim!! http://www.cours.polymtl.ca/gbm830/! mohamad.sawan@polymtl.ca!

More information

University of Southern California School Of Engineering Department Of Electrical Engineering

University of Southern California School Of Engineering Department Of Electrical Engineering University of Southern California School Of Engineering Department Of Electrical Engineering EE 448: Homework Assignment #02 Fall, 2001 ( Assigned 09/10/01; Due 09/19/01) Choma Problem #05: n an attempt

More information

Design of High-Speed Op-Amps for Signal Processing

Design of High-Speed Op-Amps for Signal Processing Design of High-Speed Op-Amps for Signal Processing R. Jacob (Jake) Baker, PhD, PE Professor and Chair Boise State University 1910 University Dr. Boise, ID 83725-2075 jbaker@ieee.org Abstract - As CMOS

More information