Designing Analog and RF Circuits for Ultra-Low Supply Voltages. Peter Kinget

Size: px
Start display at page:

Download "Designing Analog and RF Circuits for Ultra-Low Supply Voltages. Peter Kinget"

Transcription

1 Designing Analog and RF Circuits for Ultra-Low Supply Voltages Peter Kinget

2 Motivation Past 4 decades in electronics: IC Technology Progress! Device Scaling IC Design Progress! More Integration Sub 100nm technologies (c) 2007 Peter Kinget 3

3 Nano CMOS : Supply Voltage Thick oxide V DD Thin oxide V DD [ITRS'05] Thin oxide V T O High Perf.! Low Standby V Low Power Technology node [nm] (c) 2007 Peter Kinget 4

4 World is Analog *^%&*$ ;-) Analog/RF Interfaces Digital Core SOC Analog & RF bring the bits to life (c) 2007 Peter Kinget 5

5 Lower Cost Less Leakage Less Power V DD Scaling SCALE!! Do NOT Scale!! Less SNR, Less Accuracy, More Power D A (c) 2007 Peter Kinget 6

6 Lowest Energy Digital V DD Energy per instr. (a.u.) V V DD [Hanson 2006] 8-bit microprocessor in 0.13um CMOS (c) 2007 Peter Kinget 7

7 System on a Chip D Digital: Scale!! 80-95% Do NOT Scale!! A Analog: 5-20% (c) 2007 Peter Kinget 8

8 Of course There are thick oxide devices; There are DC-DC converters or custom supplies; Heterogeneous technologies can be combined with system in a package,. (c) 2007 Peter Kinget 9

9 Disappearing Electronics E.g., pico nodes in wireless sensor nets Scavenge energy with one solar cell V DD 0.4 to 0.5V [Rabaey 2006] (c) 2007 Peter Kinget 10

10 Analog Assist for Digital Digital Gates Supply Noise Monitor Embed analog monitors in digital circuits to monitor temperature, V TH, V DD, substrate interference, signal integrity,. Need to be fully compatible to digital (layout, VDD, technology, ) [Petrescu 2006] (c) 2007 Peter Kinget 11

11 So, let s explore, how we can design ultra-low voltage analog & RF ICs? (c) 2007 Peter Kinget 12

12 Outline Motivation The Challenges The Opportunities Device Sizing & Biasing Building Block Topologies System Level Solutions Outlook & Conclusions (c) 2007 Peter Kinget 13

13 MOST Biasing: CS or VCCS r o,n //r o,p 90nm W=2u V GS,p r o,p V out r o,n Swing V V GS,n Transconductor or Current Source V DS > 0.1V to 0.15 V (for V GS -V TH! 0.2 V) V out [V] Moderate to Strong Inversion (V GS -V TH ) " 0.15 V & V TH = 0.35V! V GS = 0.5V V TH = 0.15V! V GS = 0.3V (c) 2007 Peter Kinget 14

14 Ultra-LV Challenges in OTAs V out+ 0.25V " V casp V casn " 0.5V 0.15V 0.3V 0.15V " " " V in+ V in- 0.15V 0.15V V casn V casp 0.15V V out- 0.25V 0.15V " 0.3V CMFB (c) 2007 Peter Kinget V

15 Gate Leakage Gate Current Density [A/cm 2 ] Gate Voltage [V] [Taur 1999] Gate current decreases exponentially with decreasing oxide voltage (c) 2007 Peter Kinget 16

16 Reliability Time to Breakdown (63%) [s] Gate Voltage [V] [Stathis 2002] Time to Breakdown increases exponentially with decreasing gate voltage (c) 2007 Peter Kinget 17

17 RSCE V T as L Device Level Solutions V TH 400mV 270mV 150mV 180nm 130nm 90nm Forward Body-Bias 4 L/L min deep n-well NMOS V TH V BS =0.5V #V T ~ -50mV V DD V BS (c) 2007 Peter Kinget 18

18 Building Block Solutions Rethink your topologies!! Examples: 0.18um OTAs V T = 500mV = V DD 90nm OTAs V T = mV (c) 2007 Peter Kinget 19

19 OTAs: CM Level Shifting 0.5 V 0.4 V R b = 2/3 R i R f 0.25 V 0.25 V 0.4 V [Bult 2000] 0.5 V [Karthikeyan 2000] (c) 2007 Peter Kinget 20

20 0.5V Gate-Input OTA Stage V out- 0.5 V V out+ Local Common- Mode Feedback Common-Mode Feed Forward Cancellation Neg. G Gain Boost V bn V NR V in+ V in- (c) 2007 Peter Kinget 21

21 0.5V Two-Stage OTA in 0.18um V T,nom =0.5V; CM in 0.4V 62dB DC gain; 10MHz GBW; 10pF C L,diff ; 75uW (c) 2007 Peter Kinget 22

22 On-Chip Biasing Circuits Error amp. bias Gain bias OTA replica OTA (one stage) Level shift bias DC CM bias (c) 2007 Peter Kinget 23

23 0.5V Two-Stage OTA in 90nm 0.5 V I LS V in+ V in- V out+ V out- I NR I TAIL V T,nom =0.3V; CM in 0.25V 8dB -G gain boost 1 st stage; 45dB DC gain; 175MHz GBW; 4pF C L,diff ; 625uW Bias circuits not shown FBB not shown (c) 2007 Peter Kinget 24

24 THA, ADCs System Functions Floating switch problem RF Receivers & Synthesizers Continuous-time filters Tuning challenge (c) 2007 Peter Kinget 25

25 Floating Switch Challenge 0.5V Conductance NMOS 2u/80n PMOS 4u/80n V in NMOS 4.4u/180n 10k$ PMOS 9u/180n 0 V in nm: V TH = 0.3V 180nm: V TH = 0.55V (c) 2007 Peter Kinget 26

26 Addressing Floating Switches Low V TH switch Switched Opamp % 1 % 2 - % 2 % 1 + % 2 % 2 % CLK Boost or Bootstrap 2V DD or V in +V ON % 1 [Crols 1994] Switched R-C % 2 0 % 2 % % 2 % % 1 [Nakagome 1991][Abo 1999] [Ahn 2005] (c) 2007 Peter Kinget 27

27 Addressing Floating Switches Low V T switch devices Clock boosting or bootstrap Switched opamp Switched R-C RTO signaling for CT!" ADCs Cascaded sampling to reduce low-v TH switch leakage Common-mode level shift (c) 2007 Peter Kinget 28

28 3 rd order CT!" Modulator Using Active RC integrators % DAC + Vin - Q Q Digital Output % DAC (c) 2007 Peter Kinget 29

29 RZ Challenge: Switches at V DD /2 0.5V VCM 0V D0 % RZ D V RZ DAC_n v 2 V cm,ota V 1 Rdac C v 1 Switch Conductance 0.25 V + Vin Ri 0.25 V V 2 Rdac C D1 % RZ 0.5V VCM 0V D0 RZ DAC_p 0.25 V (c) 2007 Peter Kinget 30

30 Solution: Return-to-Open When RZ: (Q=1) Problem switches removed 0.5V 0V D0 v 1 D1 Rdac RTO DAC_n C v 2 V cm,ota 0.25 V + Vin Ri v 1 Rdac C RZ v 2 D1 0.5V 0V D0 RTO DAC_p (c) 2007 Peter Kinget 31

31 0.5V 74 db SNDR 25kHz!" Modulator 1mm Operation for V DD = 0.45V to 0.8V Return-to-open architecture, body-input gate-clocked circuits 74dB SNDR, 25kHz, 64x OSR, 300#W, 0.18um CMOS [Pun, Chatterjee, Kinget, ISSCC 06, JSSC 07] (c) 2007 Peter Kinget 32

32 CM Level Shift & Switches 0.5V Differential Track and Hold TRACK Phase 0.4V CM virtual short no signal swing across Switch: clk gate & body! (c) 2007 Peter Kinget 33

33 CM Level Shift & Switches 0.5V Differential Track and Hold HOLD Phase 0.4V CM Switch: no signal swing across Switch: clk gate & body! (c) 2007 Peter Kinget 34

34 0.5V 1Msps 60dB T/H amplifier Biasing circuits SNDR Track-and-holds True low voltage No CLK boosting [Chatterjee, Kinget, VLSI 06, JSSC07] Input amplitude [dbv] 0.25!m CMOS V TH = 0.6V 60dB SNDR 1Msps 0.6mA at 0.5V (c) 2007 Peter Kinget 35

35 Low V TH switch leakage: W/L=12/0.36 V TH =0.15V 0.01mV/ns 0.12mV/ns 35 (c) 2007 Peter Kinget 36

36 0.5V 8bit 10Msps Pipelined ADC 100kHz 10MHz No internal voltage or clock boosting; regular devices; cascaded sampling technique. Aux. S/H for the sub-adc to eliminate front-end SHA. 10Msps: SNDR 2.4mW in 90nm CMOS [Shen, Kinget, VLSI07]. (c) 2007 Peter Kinget 37

37 Tuneable Lossy RC Integrator MOSFET-C V TUNE >> V DD V IN V TUNE V OUT V IN V OUT [Yoshizawa 2002] CM Level Shift Switched Tuning Variable Duty Cycle Switched-R V LS V LS V IN V IN [Huang 2001] V V OUT OUT [Kurahashi 2006] (c) 2007 Peter Kinget 38

38 Low-voltage tunable integrator using varactor V DD 0.25 V + V in V - V out + V DD C gs / C ox 0.4 V V tune [V] (c) 2007 Peter Kinget 39

39 0.5V Fully Integrated 5th Order LPF 1mm 135 khz Filter PLL 1mm Gain [db] OTAs Biasing circuits Operation at 0.45 V to 0.6 V 1.1 mw power dissipation 57 db dynamic range Frequency [Hz] [Chatterjee, Tsividis, Kinget, ISSCC05, JSSC05] (c) 2007 Peter Kinget 40

40 A 0.5V 2.4GHz Receiver ISM band applications Sliding IF topology: only 1 RF Mixer LNA, Mixers, VGA + on chip RF, IF & BB filtering (c) 2007 Peter Kinget 41

41 LNA, IMR, & RF Mix IMR Filter IF Filter LNA Mixer Switched Gm Mixer [Klumperink 2004] (c) 2007 Peter Kinget 42

42 A 0.5V 2.4GHz Receiver Gain 30dB; NF 18dB; IIP3-22dBm 90nm CMOS 8.5mW [Stanic, Balankutty, Kinget, Tsividis, RFIC07] (c) 2007 Peter Kinget 43

43 0.65V/0.5V GHz Fractional-N Synthesizer in 90nm CMOS VCO swing within the supply rails for reliability. Fractional-N DSM dithering shifted to later divider stages to prevent noise injection into forward biased body. Staggered clock to prevent jittering caused by simultaneous switching. ISM Band applications: 3MHz for 6mW [Yu, Kinget, ISSC07] (c) 2007 Peter Kinget 44

44 Outlook

45 Where do we go from here Other nanoscale challenges: Smaller g m /g o. Gate & subthreshold leakage. Reduced body effect: g m,b # for L #. Opportunities: Device speed significantly improves. Calibrate using abundant digital gates. FinFETs, dual gate devices,. (c) 2007 Peter Kinget 47

46 Nanoscale Opportunities FinFETs, dual gate devices,. V GATE2 =-1.2 to 1.2V GATE 2 V GATE1 GATE 1 [Mathew 2005] (c) 2007 Peter Kinget 48

47 LV Challenge: Interfaces V CKT out Z Transf. 4dBm 1.0Vpp -2dBm 0.5Vpp 50" Filt./Z Match in CKT 50" (c) 2007 Peter Kinget 49

48 Power Dissipation Limits Noise limited circuits [Vittoz90]: Mismatch limited circuits [Kinget96]: ideal class B (c) 2007 Peter Kinget 50

49 Low Voltage Power Penalty Finite V DSsat : V DSsat (c) 2007 Peter Kinget 51

50 ADC FOM vs V DD pj/conv. V DD Most data taken from B. Murmann, A/D Converter ISSCC Performance Data (c) 2007 Peter Kinget 52

51 Ultra-low Voltage Analog & RF Design Techniques Exploit full device characteristics RSCE, Body-bias Rethink your topologies Eliminate stacks, LCMFB, CMFF, Neg. G Revise your architectures Eliminate switches, address leakage, revise tuning paradigms Plenty of open opportunities!! (c) 2007 Peter Kinget 53

52 Acknowledgments Collaborators: Y. Tsividis, K. P. Pun (City Univ. Hong Kong), S. Chatterjee (now IIT Delhi), A. Balankutty, Y. Feng, J. Shen, N. Stanic, S. Yu. U.K. Moon (Oregon State Univ.) for technical discussions. Analog Devices, Bell Labs, Intel, Realtek, Silicon Labs, for financial support. Europractice, Philips (now NXP) and UMC for fabrication support. Integrand Software for EMX software. (c) 2007 Peter Kinget 54

53 0.5V Analog Roadmap Complexity 90nm 180nm 250nm Thank you for your attention! 135kHz LPF + Tuning CT 74dB 25kHz #" A/D 2.4GHz RCV 2.4GHz Synth. 8b 10Ms A/D DT "# ADC Body-input OTA Gate-input OTA & Biasing 0.5V Varactor 10b 1Ms THA Comparators 900MHz RF Front-end Basic blocks (c) 2007 Peter Kinget 55

54 References [Rabaey 2006]: J. Rabaey et al., The roadmap to disappearing electronics and ambient intelligence, IEEE Circuits and Devices Magazine, pp , July/August [Hanson 2006]: S. Hanson et al., Ultralow-voltage, minimum-energy CMOS, IBM J. Research & Development, Vol. 50, No. 4/5, pp , July/september [Mathew 2004]: L. Mathew et al., CMOS Vertical Multiple Independent Gate Field Effect Transistor (MIGFET), IEEE International SOI Conference, [Stathis 200]: J.H. Stathis, Reliability limits for gate insulator in CMOS technology, IBM J. Research & Development, Vol. 46, No. 2/3, pp , March/May [Karthikeyan 2000] S. Karthikeyan, S. Mortezapour, A. Tammineedi, and E. Lee, Low-voltage analog circuit design based on biased inverting opamp configuration, IEEE Trans. Circuits Syst. II,vol. 47, no. 3, pp , March [Bult 2000] K. Bult, Analog design in deep sub-micron CMOS, in European Solid- State Circuits Conference (ESSCIRC), September 2000, pp (c) 2007 Peter Kinget 56

Designing Analog and RF Circuits in Nanoscale CMOS Technologies: Scale the Supply, Reduce the Area and Use Digital Gates.

Designing Analog and RF Circuits in Nanoscale CMOS Technologies: Scale the Supply, Reduce the Area and Use Digital Gates. Designing Analog and RF Circuits in Nanoscale CMOS Technologies: Scale the Supply, Reduce the Area and Use Digital Gates. Peter Kinget Université Catholique de Louvain (Belgium) On sabbatical from: Columbia

More information

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier Hyunui Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline Background Body voltage controlled

More information

An Ultra Low-Voltage and Low-Power OTA Using Bulk-Input Technique and Its Application in Active-RC Filters

An Ultra Low-Voltage and Low-Power OTA Using Bulk-Input Technique and Its Application in Active-RC Filters Circuits and Systems, 2011, 2, 183-189 doi:10.4236/cs.2011.23026 Published Online July 2011 (http://www.scirp.org/journal/cs) An Ultra Low-Voltage and Low-Power OTA Using Bulk-Input Technique and Its Application

More information

Low-power Sigma-Delta AD Converters

Low-power Sigma-Delta AD Converters Low-power Sigma-Delta AD Converters Willy Sansen KULeuven, ESAT-MICAS Leuven, Belgium willy.sansen@esat.kuleuven.be Willy Sansen 10-05 211 Table of contents Delta-sigma modulation The switch problem The

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Lecture 3 Switched-Capacitor Circuits Trevor Caldwell

Lecture 3 Switched-Capacitor Circuits Trevor Caldwell Advanced Analog Circuits Lecture 3 Switched-Capacitor Circuits Trevor Caldwell trevor.caldwell@analog.com Lecture Plan Date Lecture (Wednesday 2-4pm) Reference Homework 2017-01-11 1 MOD1 & MOD2 ST 2, 3,

More information

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY Neha Bakawale Departmentof Electronics & Instrumentation Engineering, Shri G. S. Institute of

More information

ISSCC 2006 / SESSION 33 / MOBILE TV / 33.4

ISSCC 2006 / SESSION 33 / MOBILE TV / 33.4 33.4 A Dual-Channel Direct-Conversion CMOS Receiver for Mobile Multimedia Broadcasting Vincenzo Peluso, Yang Xu, Peter Gazzerro, Yiwu Tang, Li Liu, Zhenbiao Li, Wei Xiong, Charles Persico Qualcomm, San

More information

Proposing. An Interpolated Pipeline ADC

Proposing. An Interpolated Pipeline ADC Proposing An Interpolated Pipeline ADC Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Lab. Background 38GHz long range mm-wave system Role of long range mm-wave Current Optical

More information

Analog and RF circuit techniques in nanometer CMOS

Analog and RF circuit techniques in nanometer CMOS Analog and RF circuit techniques in nanometer CMOS Bram Nauta University of Twente The Netherlands http://icd.ewi.utwente.nl b.nauta@utwente.nl UNIVERSITY OF TWENTE. Outline Introduction Balun-LNA-Mixer

More information

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique James Lin, Masaya Miyahara and Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Laḃ

More information

A 400 MHz 4.5 nw 63.8 dbm Sensitivity Wake-up Receiver Employing an Active Pseudo-Balun Envelope Detector

A 400 MHz 4.5 nw 63.8 dbm Sensitivity Wake-up Receiver Employing an Active Pseudo-Balun Envelope Detector A 400 MHz 4.5 nw 63.8 dbm Sensitivity Wake-up Receiver Employing an Active Pseudo-Balun Envelope Detector Po-Han Peter Wang, Haowei Jiang, Li Gao, Pinar Sen, Young-Han Kim, Gabriel M. Rebeiz, Patrick P.

More information

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong Research and Development Activities in RF and Analog IC Design Howard Luong Analog Research Laboratory Department of Electrical and Electronic Engineering Hong Kong University of Science and Technology

More information

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible A Forward-Body-Bias Tuned 450MHz Gm-C 3 rd -Order Low-Pass Filter in 28nm UTBB FD-SOI with >1dBVp IIP3 over a 0.7-to-1V Supply Joeri Lechevallier 1,2, Remko Struiksma 1, Hani Sherry 2, Andreia Cathelin

More information

Publication [P3] By choosing to view this document, you agree to all provisions of the copyright laws protecting it.

Publication [P3] By choosing to view this document, you agree to all provisions of the copyright laws protecting it. Publication [P3] Copyright c 2006 IEEE. Reprinted, with permission, from Proceedings of IEEE International Solid-State Circuits Conference, Digest of Technical Papers, 5-9 Feb. 2006, pp. 488 489. This

More information

Scalable and Synthesizable. Analog IPs

Scalable and Synthesizable. Analog IPs Scalable and Synthesizable Analog IPs Akira Matsuzawa Tokyo Institute of Technology Background and Motivation 1 Issues It becomes more difficult to obtain good analog IPs Insufficient design resources

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

ISSCC 2006 / SESSION 20 / WLAN/WPAN / 20.5

ISSCC 2006 / SESSION 20 / WLAN/WPAN / 20.5 20.5 An Ultra-Low Power 2.4GHz RF Transceiver for Wireless Sensor Networks in 0.13µm CMOS with 400mV Supply and an Integrated Passive RX Front-End Ben W. Cook, Axel D. Berny, Alyosha Molnar, Steven Lanzisera,

More information

A Dual-Step-Mixing ILFD using a Direct Injection Technique for High- Order Division Ratios in 60GHz Applications

A Dual-Step-Mixing ILFD using a Direct Injection Technique for High- Order Division Ratios in 60GHz Applications A Dual-Step-Mixing ILFD using a Direct Injection Technique for High- Order Division Ratios in 60GHz Applications Teerachot Siriburanon, Wei Deng, Ahmed Musa, Kenichi Okada, and Akira Matsuzawa Tokyo Institute

More information

A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement and Noise Cancellation

A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement and Noise Cancellation 2017 International Conference on Electronic, Control, Automation and Mechanical Engineering (ECAME 2017) ISBN: 978-1-60595-523-0 A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement

More information

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2 ISSN 2277-2685 IJESR/October 2014/ Vol-4/Issue-10/682-687 Thota Keerthi et al./ International Journal of Engineering & Science Research DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN

More information

Low voltage, low power, bulk-driven amplifier

Low voltage, low power, bulk-driven amplifier University of Arkansas, Fayetteville ScholarWorks@UARK Electrical Engineering Undergraduate Honors Theses Electrical Engineering 5-2009 Low voltage, low power, bulk-driven amplifier Shama Huda University

More information

T. Taris, H. Kraïmia, JB. Begueret, Y. Deval. Bordeaux, France. 12/15-16, 2011 Lauzanne, Switzerland

T. Taris, H. Kraïmia, JB. Begueret, Y. Deval. Bordeaux, France. 12/15-16, 2011 Lauzanne, Switzerland 1 MOSFET Modeling for Ultra Low-Power RF Design T. Taris, H. Kraïmia, JB. Begueret, Y. Deval Bordeaux, France 2 Context More services in Environment survey Energy management Process optimisation Aging

More information

SOLIMAN A. MAHMOUD Department of Electrical Engineering, Faculty of Engineering, Cairo University, Fayoum, Egypt

SOLIMAN A. MAHMOUD Department of Electrical Engineering, Faculty of Engineering, Cairo University, Fayoum, Egypt Journal of Circuits, Systems, and Computers Vol. 14, No. 4 (2005) 667 684 c World Scientific Publishing Company DIGITALLY CONTROLLED CMOS BALANCED OUTPUT TRANSCONDUCTOR AND APPLICATION TO VARIABLE GAIN

More information

Design of Robust CMOS Amplifiers Combining Advanced Low-Voltage and Feedback Techniques

Design of Robust CMOS Amplifiers Combining Advanced Low-Voltage and Feedback Techniques Design of Robust CMOS Amplifiers Combining Advanced Low-Voltage and Feedback Techniques Somayeh Abdollahvand, António Gomes, David Rodrigues, Fábio Januário and João Goes Centre for Technologies and Systems

More information

Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity

Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity Marvin Onabajo Assistant Professor Analog and Mixed-Signal Integrated Circuits (AMSIC) Research Laboratory Dept.

More information

2011/12 Cellular IC design RF, Analog, Mixed-Mode

2011/12 Cellular IC design RF, Analog, Mixed-Mode 2011/12 Cellular IC design RF, Analog, Mixed-Mode Mohammed Abdulaziz, Mattias Andersson, Jonas Lindstrand, Xiaodong Liu, Anders Nejdel Ping Lu, Luca Fanori Martin Anderson, Lars Sundström, Pietro Andreani

More information

Low-Power Pipelined ADC Design for Wireless LANs

Low-Power Pipelined ADC Design for Wireless LANs Low-Power Pipelined ADC Design for Wireless LANs J. Arias, D. Bisbal, J. San Pablo, L. Quintanilla, L. Enriquez, J. Vicente, J. Barbolla Dept. de Electricidad y Electrónica, E.T.S.I. de Telecomunicación,

More information

65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers

65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers 65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers Michael Gordon, Terry Yao, Sorin P. Voinigescu University of Toronto March 10 2006, UBC, Vancouver Outline Motivation mm-wave

More information

Design of a Sample and Hold Circuit using Rail to Rail Low Voltage Compact Operational Amplifier and bootstrap Switching

Design of a Sample and Hold Circuit using Rail to Rail Low Voltage Compact Operational Amplifier and bootstrap Switching RESEARCH ARTICLE OPEN ACCESS Design of a Sample and Hold Circuit using Rail to Rail Low Voltage Compact Operational Amplifier and bootstrap Switching Annu Saini, Prity Yadav (M.Tech. Student, Department

More information

CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE

CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE 3.1 INTRODUCTION An ADC is a device which converts a continuous quantity into discrete digital signal. Among its types, pipelined

More information

Design and Simulation of Low Voltage Operational Amplifier

Design and Simulation of Low Voltage Operational Amplifier Design and Simulation of Low Voltage Operational Amplifier Zach Nelson Department of Electrical Engineering, University of Nevada, Las Vegas 4505 S Maryland Pkwy, Las Vegas, NV 89154 United States of America

More information

High Voltage Operational Amplifiers in SOI Technology

High Voltage Operational Amplifiers in SOI Technology High Voltage Operational Amplifiers in SOI Technology Kishore Penmetsa, Kenneth V. Noren, Herbert L. Hess and Kevin M. Buck Department of Electrical Engineering, University of Idaho Abstract This paper

More information

An Asymmetrical Bulk CMOS Switch for 2.4 GHz Application

An Asymmetrical Bulk CMOS Switch for 2.4 GHz Application Progress In Electromagnetics Research Letters, Vol. 66, 99 104, 2017 An Asymmetrical Bulk CMOS Switch for 2.4 GHz Application Lang Chen 1, * and Ye-Bing Gan 1, 2 Abstract A novel asymmetrical single-pole

More information

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER M. Taherzadeh-Sani, R. Lotfi, and O. Shoaei ABSTRACT A novel class-ab architecture for single-stage operational amplifiers is presented. The structure

More information

Design Methodology and Applications of SiGe BiCMOS Cascode Opamps with up to 37-GHz Unity Gain Bandwidth

Design Methodology and Applications of SiGe BiCMOS Cascode Opamps with up to 37-GHz Unity Gain Bandwidth Design Methodology and Applications of SiGe BiCMOS Cascode Opamps with up to 37-GHz Unity Gain Bandwidth S.P. Voinigescu, R. Beerkens*, T.O. Dickson, and T. Chalvatzis University of Toronto *STMicroelectronics,

More information

Quadrature GPS Receiver Front-End in 0.13μm CMOS: The QLMV cell

Quadrature GPS Receiver Front-End in 0.13μm CMOS: The QLMV cell 1 Quadrature GPS Receiver Front-End in 0.13μm CMOS: The QLMV cell Yee-Huan Ng, Po-Chia Lai, and Jia Ruan Abstract This paper presents a GPS receiver front end design that is based on the single-stage quadrature

More information

20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS. Masum Hossain & Tony Chan Carusone University of Toronto

20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS. Masum Hossain & Tony Chan Carusone University of Toronto 20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS Masum Hossain & Tony Chan Carusone University of Toronto masum@eecg.utoronto.ca Motivation Data Rx3 Rx2 D-FF D-FF Rx1 D-FF Clock Clock

More information

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists 4,000 116,000 120M Open access books available International authors and editors Downloads Our

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2 20.2 A Digitally Calibrated 5.15-5.825GHz Transceiver for 802.11a Wireless LANs in 0.18µm CMOS I. Bouras 1, S. Bouras 1, T. Georgantas

More information

Assoc. Prof. Dr. MONTREE SIRIPRUCHYANUN

Assoc. Prof. Dr. MONTREE SIRIPRUCHYANUN 1 Assoc. Prof. Dr. MONTREE SIRIPRUCHYANUN Dept. of Teacher Training in Electrical Engineering 1 King Mongkut s Institute of Technology North Bangkok 1929 Bulky, expensive and required high supply voltages.

More information

A Novel Design of Low Voltage,Wilson Current Mirror based Wideband Operational Transconductance Amplifier

A Novel Design of Low Voltage,Wilson Current Mirror based Wideband Operational Transconductance Amplifier A Novel Design of Low Voltage,Wilson Current Mirror based Wideband Operational Transconductance Amplifier Kehul A. Shah 1, N.M.Devashrayee 2 1(Associative Prof., Department of Electronics and Communication,

More information

IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 02, 2016 ISSN (online):

IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 02, 2016 ISSN (online): IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 02, 2016 ISSN (online): 2321-0613 Design & Analysis of CMOS Telescopic Operational Transconductance Amplifier (OTA) with

More information

Design of Low Power Linear Multi-band CMOS Gm-C Filter

Design of Low Power Linear Multi-band CMOS Gm-C Filter Design of Low Power Linear Multi-band CMOS Gm-C Filter Riyas T M 1, Anusooya S 2 PG Student [VLSI & ES], Department of Electronics and Communication, B.S.AbdurRahman University, Chennai-600048, India 1

More information

Frequency Synthesizers for RF Transceivers. Domine Leenaerts Philips Research Labs.

Frequency Synthesizers for RF Transceivers. Domine Leenaerts Philips Research Labs. Frequency Synthesizers for RF Transceivers Domine Leenaerts Philips Research Labs. Purpose Overview of synthesizer architectures for RF transceivers Discuss the most challenging RF building blocks Technology

More information

A 1MHz-64MHz Active RC TI-LPF with Variable Gain for SDR Receiver in 65-nm CMOS

A 1MHz-64MHz Active RC TI-LPF with Variable Gain for SDR Receiver in 65-nm CMOS 2017 5th International Conference on Computer, Automation and Power Electronics (CAPE 2017) A 1MHz-64MHz Active RC TI-LPF with Variable Gain for SDR Receiver in 65-nm CMOS Chaoxuan Zhang1, a, *, Xunping

More information

Integrated Microsystems Laboratory. Franco Maloberti

Integrated Microsystems Laboratory. Franco Maloberti University of Pavia Integrated Microsystems Laboratory Power Efficient Data Convertes Franco Maloberti franco.maloberti@unipv.it OUTLINE Introduction Managing the noise power budget Challenges of State-of-the-art

More information

EECS 290C: Advanced circuit design for wireless Class Final Project Due: Thu May/02/2019

EECS 290C: Advanced circuit design for wireless Class Final Project Due: Thu May/02/2019 EECS 290C: Advanced circuit design for wireless Class Final Project Due: Thu May/02/2019 Project: A fully integrated 2.4-2.5GHz Bluetooth receiver. The receiver has LNA, RF mixer, baseband complex filter,

More information

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

A Low-Power Single-Bit Continuous-time DS Converter with 92.5dB Dynamic Range and design of Low-Voltage Σ ADCs

A Low-Power Single-Bit Continuous-time DS Converter with 92.5dB Dynamic Range and design of Low-Voltage Σ ADCs A Low-Power Single-Bit Continuous-time DS Converter with 92.5dB Dynamic Range and design of Low-Voltage Σ ADCs Sakkarapani Balagopal and Vishal Saxena* Department of Electrical and Computer Engineering

More information

A 2.4-GHz 24-dBm SOI CMOS Power Amplifier with Fully Integrated Output Balun and Switched Capacitors for Load Line Adaptation

A 2.4-GHz 24-dBm SOI CMOS Power Amplifier with Fully Integrated Output Balun and Switched Capacitors for Load Line Adaptation A 2.4-GHz 24-dBm SOI CMOS Power Amplifier with Fully Integrated Output Balun and Switched Capacitors for Load Line Adaptation Francesco Carrara 1, Calogero D. Presti 2,1, Fausto Pappalardo 1, and Giuseppe

More information

Enhancing the Slew rate and Gain Bandwidth of Single ended CMOS Operational Transconductance Amplifier using LCMFB Technique

Enhancing the Slew rate and Gain Bandwidth of Single ended CMOS Operational Transconductance Amplifier using LCMFB Technique ISSN: 2278 1323 Enhancing the Slew rate and Gain Bandwidth of Single ended CMOS Operational Transconductance Amplifier using LCMFB Technique 1 Abhishek Singh, 2 Sunil Kumar Shah, 3 Pankaj Sahu 1 abhi16.2007@gmail.com,

More information

Challenges in Designing CMOS Wireless System-on-a-chip

Challenges in Designing CMOS Wireless System-on-a-chip Challenges in Designing CMOS Wireless System-on-a-chip David Su Atheros Communications Santa Clara, California IEEE Fort Collins, March 2008 Introduction Outline Analog/RF: CMOS Transceiver Building Blocks

More information

A 0.55 V 7-bit 160 MS/s Interpolated Pipeline ADC Using Dynamic Amplifiers

A 0.55 V 7-bit 160 MS/s Interpolated Pipeline ADC Using Dynamic Amplifiers A 0.55 V 7-bit 160 MS/s Interpolated Pipeline ADC Using Dynamic Amplifiers James Lin, Daehwa Paik, Seungjong Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada

More information

Common-Source Amplifiers

Common-Source Amplifiers Lab 2: Common-Source Amplifiers Introduction The common-source stage is the most basic amplifier stage encountered in CMOS analog circuits. Because of its very high input impedance, moderate-to-high gain,

More information

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME Neeta Pandey 1, Kirti Gupta 2, Rajeshwari Pandey 3, Rishi Pandey 4, Tanvi Mittal 5 1, 2,3,4,5 Department of Electronics and Communication Engineering, Delhi Technological

More information

Design of Gain Enhanced and Power Efficient Op- Amp for ADC/DAC and Medical Applications

Design of Gain Enhanced and Power Efficient Op- Amp for ADC/DAC and Medical Applications Indian Journal of Science and Technology, Vol 9(29), DOI: 10.17485/ijst/2016/v9i29/90885, August 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Design of Gain Enhanced and Power Efficient Op-

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

Synthesis of Optimal On-Chip Baluns

Synthesis of Optimal On-Chip Baluns Synthesis of Optimal On-Chip Baluns Sharad Kapur, David E. Long and Robert C. Frye Integrand Software, Inc. Berkeley Heights, New Jersey Yu-Chia Chen, Ming-Hsiang Cho, Huai-Wen Chang, Jun-Hong Ou and Bigchoug

More information

Sensors & Transducers Published by IFSA Publishing, S. L.,

Sensors & Transducers Published by IFSA Publishing, S. L., Sensors & Transducers Published by IFSA Publishing, S. L., 208 http://www.sensorsportal.com Fully Differential Operation Amplifier Using Self Cascode MOSFET Structure for High Slew Rate Applications Kalpraj

More information

A low-variation on-resistance CMOS sampling switch for high-speed high-performance applications

A low-variation on-resistance CMOS sampling switch for high-speed high-performance applications A low-variation on-resistance CMOS sampling switch for high-speed high-performance applications MohammadReza Asgari 1 and Omid Hashemipour 2a) 1 Microelectronic Lab, Shahid Beheshti University, G. C. Tehran,

More information

Pulse-Based Ultra-Wideband Transmitters for Digital Communication

Pulse-Based Ultra-Wideband Transmitters for Digital Communication Pulse-Based Ultra-Wideband Transmitters for Digital Communication Ph.D. Thesis Defense David Wentzloff Thesis Committee: Prof. Anantha Chandrakasan (Advisor) Prof. Joel Dawson Prof. Charles Sodini Ultra-Wideband

More information

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University By: K. Tripurari, C. W. Hsu, J. Kuppambatti, B. Vigraham, P.R. Kinget Columbia University For

More information

Design of a low voltage,low drop-out (LDO) voltage cmos regulator

Design of a low voltage,low drop-out (LDO) voltage cmos regulator Design of a low,low drop-out (LDO) cmos regulator Chaithra T S Ashwini Abstract- In this paper a low, low drop-out (LDO) regulator design procedure is proposed and implemented using 0.25 micron CMOS process.

More information

Lecture 300 Low Voltage Op Amps (3/28/10) Page 300-1

Lecture 300 Low Voltage Op Amps (3/28/10) Page 300-1 Lecture 300 Low Voltage Op Amps (3/28/10) Page 300-1 LECTURE 300 LOW VOLTAGE OP AMPS LECTURE ORGANIZATION Outline Introduction Low voltage input stages Low voltage gain stages Low voltage bias circuits

More information

An All CMOS, 2.4 GHz, Fully Adaptive, Scalable, Frequency Hopped Transceiver

An All CMOS, 2.4 GHz, Fully Adaptive, Scalable, Frequency Hopped Transceiver An All CMOS, 2.4 GHz, Fully Adaptive, Scalable, Frequency Hopped Transceiver Farbod Behbahani John Leete Alexandre Kral Shahrzad Tadjpour Karapet Khanoyan Paul J. Chang Hooman Darabi Maryam Rofougaran

More information

Design of High-Speed Op-Amps for Signal Processing

Design of High-Speed Op-Amps for Signal Processing Design of High-Speed Op-Amps for Signal Processing R. Jacob (Jake) Baker, PhD, PE Professor and Chair Boise State University 1910 University Dr. Boise, ID 83725-2075 jbaker@ieee.org Abstract - As CMOS

More information

FD-SOI FOR RF IC DESIGN. SITRI LETI Workshop Mercier Eric 08 september 2016

FD-SOI FOR RF IC DESIGN. SITRI LETI Workshop Mercier Eric 08 september 2016 FD-SOI FOR RF IC DESIGN SITRI LETI Workshop Mercier Eric 08 september 2016 UTBB 28 nm FD-SOI : RF DIRECT BENEFITS (1/2) 3 back-end options available Routing possible on the AluCap level no restriction

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Advanced Operational Amplifiers

Advanced Operational Amplifiers IsLab Analog Integrated Circuit Design OPA2-47 Advanced Operational Amplifiers כ Kyungpook National University IsLab Analog Integrated Circuit Design OPA2-1 Advanced Current Mirrors and Opamps Two-stage

More information

Low-Power G m -C Filter Employing Current-Reuse Differential Difference Amplifiers

Low-Power G m -C Filter Employing Current-Reuse Differential Difference Amplifiers IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS 1 Low-Power G m -C Filter Employing Current-Reuse Differential Difference Amplifiers John S. Mincey, Student Member, IEEE, Carlos Briseno-Vidrios,

More information

Nonlinear Macromodeling of Amplifiers and Applications to Filter Design.

Nonlinear Macromodeling of Amplifiers and Applications to Filter Design. ECEN 622 Nonlinear Macromodeling of Amplifiers and Applications to Filter Design. By Edgar Sanchez-Sinencio Thanks to Heng Zhang for part of the material OP AMP MACROMODELS Systems containing a significant

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 20.5 A 2.4GHz CMOS Transceiver and Baseband Processor Chipset for 802.11b Wireless LAN Application George Chien, Weishi Feng, Yungping

More information

A 1.7-to-2.2GHz Full-Duplex Transceiver System with >50dB Self-Interference Cancellation over 42MHz Bandwidth

A 1.7-to-2.2GHz Full-Duplex Transceiver System with >50dB Self-Interference Cancellation over 42MHz Bandwidth A 1.7-to-2.2GHz Full-Duplex Transceiver System with >50dB Self-Interference Cancellation Tong Zhang, Ali Najafi, Chenxin Su, Jacques C. Rudell University of Washington, Seattle Feb. 8, 2017 International

More information

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers Michael H. Perrott March 19, 2004 Copyright 2004 by Michael H. Perrott All rights reserved. 1 High Speed Frequency

More information

Designing a 960 MHz CMOS LNA and Mixer using ADS. EE 5390 RFIC Design Michelle Montoya Alfredo Perez. April 15, 2004

Designing a 960 MHz CMOS LNA and Mixer using ADS. EE 5390 RFIC Design Michelle Montoya Alfredo Perez. April 15, 2004 Designing a 960 MHz CMOS LNA and Mixer using ADS EE 5390 RFIC Design Michelle Montoya Alfredo Perez April 15, 2004 The University of Texas at El Paso Dr Tim S. Yao ABSTRACT Two circuits satisfying the

More information

Pushing Ultra-Low-Power Digital Circuits

Pushing Ultra-Low-Power Digital Circuits Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era David Bol Microelectronics Laboratory Ph.D public defense December 16, 2008 Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era

More information

DAT175: Topics in Electronic System Design

DAT175: Topics in Electronic System Design DAT175: Topics in Electronic System Design Analog Readout Circuitry for Hearing Aid in STM90nm 21 February 2010 Remzi Yagiz Mungan v1.10 1. Introduction In this project, the aim is to design an adjustable

More information

CMOS Analog to Digital Converters : State-of-the-Art and Perspectives in Digital Communications ADC

CMOS Analog to Digital Converters : State-of-the-Art and Perspectives in Digital Communications ADC CMOS Analog to Digital Converters : State-of-the-Art and Perspectives in Digital Communications ADC Hussein Fakhoury and Hervé Petit C²S Research Group Presentation Outline Introduction Basic concepts

More information

Sigma-Delta ADC Tutorial and Latest Development in 90 nm CMOS for SoC

Sigma-Delta ADC Tutorial and Latest Development in 90 nm CMOS for SoC Sigma-Delta ADC Tutorial and Latest Development in 90 nm CMOS for SoC Jinseok Koh Wireless Analog Technology Center Texas Instruments Inc. Dallas, TX Outline Fundamentals for ADCs Over-sampling and Noise

More information

95GHz Receiver with Fundamental Frequency VCO and Static Frequency Divider in 65nm Digital CMOS

95GHz Receiver with Fundamental Frequency VCO and Static Frequency Divider in 65nm Digital CMOS 95GHz Receiver with Fundamental Frequency VCO and Static Frequency Divider in 65nm Digital CMOS Ekaterina Laskin, Mehdi Khanpour, Ricardo Aroca, Keith W. Tang, Patrice Garcia 1, Sorin P. Voinigescu University

More information

A 25MS/s 14b 200mW Σ Modulator in 0.18µm CMOS

A 25MS/s 14b 200mW Σ Modulator in 0.18µm CMOS UT Mixed-Signal/RF Integrated Circuits Seminar Series A 25MS/s 14b 200mW Σ Modulator in 0.18µm CMOS Pio Balmelli April 19 th, Austin TX 2 Outline VDSL specifications Σ A/D converter features Broadband

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 25.4 A 1.8V 14b 10MS/s Pipelined ADC in 0.18µm CMOS with 99dB SFDR Yun Chiu, Paul R. Gray, Borivoje Nikolic University of California, Berkeley,

More information

A Successive Approximation ADC based on a new Segmented DAC

A Successive Approximation ADC based on a new Segmented DAC A Successive Approximation ADC based on a new Segmented DAC segmented current-mode DAC successive approximation ADC bi-direction segmented current-mode DAC DAC INL 0.47 LSB DNL 0.154 LSB DAC 3V 8 2MS/s

More information

Operational Amplifiers

Operational Amplifiers CHAPTER 9 Operational Amplifiers Analog IC Analysis and Design 9- Chih-Cheng Hsieh Outline. General Consideration. One-Stage Op Amps / Two-Stage Op Amps 3. Gain Boosting 4. Common-Mode Feedback 5. Input

More information

A/D Conversion and Filtering for Ultra Low Power Radios. Dejan Radjen Yasser Sherazi. Advanced Digital IC Design. Contents. Why is this important?

A/D Conversion and Filtering for Ultra Low Power Radios. Dejan Radjen Yasser Sherazi. Advanced Digital IC Design. Contents. Why is this important? 1 Advanced Digital IC Design A/D Conversion and Filtering for Ultra Low Power Radios Dejan Radjen Yasser Sherazi Contents A/D Conversion A/D Converters Introduction ΔΣ modulator for Ultra Low Power Radios

More information

Bluetooth Receiver. Ryan Rogel, Kevin Owen I. INTRODUCTION

Bluetooth Receiver. Ryan Rogel, Kevin Owen I. INTRODUCTION 1 Bluetooth Receiver Ryan Rogel, Kevin Owen Abstract A Bluetooth radio front end is developed and each block is characterized. Bits are generated in MATLAB, GFSK endcoded, and used as the input to this

More information

ALow Voltage Wide-Input-Range Bulk-Input CMOS OTA

ALow Voltage Wide-Input-Range Bulk-Input CMOS OTA Analog Integrated Circuits and Signal Processing, 43, 127 136, 2005 c 2005 Springer Science + Business Media, Inc. Manufactured in The Netherlands. ALow Voltage Wide-Input-Range Bulk-Input CMOS OTA IVAN

More information

A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power and Low Phase Noise Current Starved VCO Gaurav Sharma 1

A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power and Low Phase Noise Current Starved VCO Gaurav Sharma 1 IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 01, 2014 ISSN (online): 2321-0613 A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power

More information

Improved Phase Noise Model. School of Electronics and Computer Science

Improved Phase Noise Model. School of Electronics and Computer Science Improved Phase Noise Model for Ultra Wideband VCO Li Ke Reuben Wilcock Peter Wilson School of Electronics and Computer Science University of Southampton, UK Presentation outline Research motivation Improved

More information

A 20GHz Class-C VCO Using Noise Sensitivity Mitigation Technique

A 20GHz Class-C VCO Using Noise Sensitivity Mitigation Technique Matsuzawa Lab. Matsuzawa & Okada Lab. Tokyo Institute of Technology A 20GHz Class-C VCO Using Noise Sensitivity Mitigation Technique Kento Kimura, Kenichi Okada and Akira Matsuzawa (WE2C-2) Matsuzawa &

More information

CHAPTER 3 CMOS LOW NOISE AMPLIFIERS

CHAPTER 3 CMOS LOW NOISE AMPLIFIERS 46 CHAPTER 3 CMOS LOW NOISE AMPLIFIERS 3.1 INTRODUCTION The Low Noise Amplifier (LNA) plays an important role in the receiver design. LNA serves as the first block in the RF receiver. It is a critical

More information

DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS

DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS by Yves Geerts Alcatel Microelectronics, Belgium Michiel Steyaert KU Leuven, Belgium and Willy Sansen KU Leuven,

More information

A 420 W 100GHz-GBW CMOS Programmable-Gain Amplifier Leveraging the Cross-Coupled Pair Regeneration

A 420 W 100GHz-GBW CMOS Programmable-Gain Amplifier Leveraging the Cross-Coupled Pair Regeneration A 420 W 100GHz-GBW CMOS Programmable-Gain Amplifier Leveraging the Cross-Coupled Pair Regeneration M.Sautto 1,2, F.Quaglia 2, G.Ricotti 2 and A. Mazzanti 1 1 University of Pavia - Italy, 2 STMicroelectronics,

More information

Receiver Architecture

Receiver Architecture Receiver Architecture Receiver basics Channel selection why not at RF? BPF first or LNA first? Direct digitization of RF signal Receiver architectures Sub-sampling receiver noise problem Heterodyne receiver

More information

G m /I D based Three stage Operational Amplifier Design

G m /I D based Three stage Operational Amplifier Design G m /I D based Three stage Operational Amplifier Design Rishabh Shukla SVNIT, Surat shuklarishabh31081988@gmail.com Abstract A nested Gm-C compensated three stage Operational Amplifier is reviewed using

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

A Unity Gain Fully-Differential 10bit and 40MSps Sample-And-Hold Amplifier in 0.18μm CMOS

A Unity Gain Fully-Differential 10bit and 40MSps Sample-And-Hold Amplifier in 0.18μm CMOS A Unity Gain Fully-Differential 0bit and 40MSps Sample-And-Hold Amplifier in 0.8μm CMOS Sanaz Haddadian, and Rahele Hedayati Abstract A 0bit, 40 MSps, sample and hold, implemented in 0.8-μm CMOS technology

More information

A Novel Continuous-Time Common-Mode Feedback for Low-Voltage Switched-OPAMP

A Novel Continuous-Time Common-Mode Feedback for Low-Voltage Switched-OPAMP 10.4 A Novel Continuous-Time Common-Mode Feedback for Low-oltage Switched-OPAMP M. Ali-Bakhshian Electrical Engineering Dept. Sharif University of Tech. Azadi Ave., Tehran, IRAN alibakhshian@ee.sharif.edu

More information