EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

Size: px
Start display at page:

Download "EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis"

Transcription

1 EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis

2 Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless sensors RFID tags Biomedical implants Mobile Phones, internet devices, and netbooks Maximize operating lifetime for stored energy (minimum energy operation) Wall-plug and Rack Mounted Systems Power-down and sleep modes in servers Maintain state in on-chip caches, SRAM memory while minimizing leakage power Moteiv Sky mote, 26 R. Amirtharajah, EEC216 Winter 29 4

3 Delay: TOT CMOS Delay and Power Dissipation Total Power: CΔV Δt = = I P + P + = Pdynamic + Pshort circuit = αc + V dd L V I 2 dd static f + V + V CLV I dd dd I peak leak static tr + t 2 R. Amirtharajah, EEC216 Winter 29 5 P leak Voltage scaling decreases all power components, at expense of increasing circuit delay. D I dd μc f C W L f L V dd ( V V ) 2 ox dd th 2

4 Low Voltage CMOS Inverter Operation Thermal noise limit: 4kT q 1 mv Inverter gain limit: 8kT q 2 mv Equalized NMOS- PMOS off currents: Swanson and Meindl, JSSC nkT q 57 mv R. Amirtharajah, EEC216 Winter 29 6

5 Supply Voltage Scaling With Technology Node VDD (V.6.4 VDD (High Perf.) 15 1 Length (nm.2 VDD (Low Power) MPU Physical Gate Length From 27 ITRS Roadmap Year R. Amirtharajah, EEC216 Winter 29 7

6 Commercial Wireless Sensor Mote Moteiv Sky mote, 26 Jiang, IPSN/SPOTS 25 Current sensor node: 7 mw all active, 17 μw idle Power sources contribute significant volume and cost Smaller system (1 cm 3 ) desirable (less obtrusive military sensor, implantable biomedical device) Reduce power consumption, get energy from environment R. Amirtharajah, EEC216 Winter 29 8

7 Specific Opportunities for ULV Design Extremely low power mixed-signal circuits Analog design without operational amplifiers Low voltage swing on-chip interconnects Good current drive at low V DD desirable Power gates and cutoff devices to minimize leakage power during inactive state Need steep subthreshold slope to limit leakage current when blocks turned off Low voltage active mode and sleep mode memories, caches Need reliable operation under variable V DD Low voltage standard cells Operate at minimum energy point, balancing leakage and dynamic power R. Amirtharajah, EEC216 Winter 29 9

8 Extremely Brief MOSFET Review Saturation: I D μc 2 W L ( ) 2 V V ( + λv ) ox = GS T 1 DS Triode: Subthreshold: I = μc D ox W L ( ) DS V 2 GS VT VDS Classical MOSFET model, will discuss deep submicron modifications as necessary R. Amirtharajah, EEC216 Winter 29 1 VGS nkt q I = D I Se 1 e V DS kt q V 2

9 Subthreshold Current Equation I D = I S e V n GS kt q 1 ( 1+ λv ) R. Amirtharajah, EEC216 Winter e V DS kt q I s and n are empirical parameters Typically, often ranging around Usually want small subthreshold leakage for digital designs Define quality metric: inverse of rate of decline of current wrt V GS below V T Subthreshold slope factor S: DS n 1 n 1. 5 kt S = n q ln( 1)

10 I D = Detailed Subthreshold Current Equation ( ) qvds V V γv + V 1 exp q Aexp GS T S η nkt V T = zero bias threshold voltage, μ = zero bias mobility Cox = gate oxide capacitance per unit area γ = linear body effect coefficient (small source voltage) η = DIBL coefficient W kt A = μ ox L q R. Amirtharajah, EEC216 Winter D 1.8 C e 2 kt

11 Leakage Currents vs. Active Currents I leak I leak Out A = 1 B = 1 M M1 I active I active /I leak (I on /I off ) ratio can be small in subthreshold R. Amirtharajah, EEC216 Winter 29 13

12 Degraded Output Levels Vdd ideal V OH Vout actual V OL V Vdd Vin Balance current ratio through sizing, limiting fanin R. Amirtharajah, EEC216 Winter 29 14

13 Degraded Logic Levels Impact Functionality Clk = 8 mv I active Q D Clk V DD =.3 V = 22 mv I off Clk = 8 mv Driven by two inverters to intermediate voltage R. Amirtharajah, EEC216 Winter 29 15

14 WL SRAM Cell Leakage Paths 1 BL BL Leakage paths can degrade read and write noise margins R. Amirtharajah, EEC216 Winter 29 16

15 Circuit Design Summary Subthreshold design similar to ratioed ckt design Must guarantee active currents sufficiently greater than leakage currents to maintain valid logic levels Degraded logic levels can cause failure in combinational and sequential circuits All circuits (esp. SRAMs) sensitive to V TH variation Be careful with subthreshold circuits Consider worst case leakage situations (data dependent) when analyzing I on /I off ratio problems Use nonminimum channel lengths, limit fanin Watch sneak leakage paths through pass gates Interrupt pass gate chains with static logic R. Amirtharajah, EEC216 Winter 29 17

16 Challenges for Ultra Low Voltage Device variability (within die, across dies, and across wafers) Variations in threshold voltage drastically affect critical circuit performance parameters Examples: I on /I off ratios, gate delays, static memory noise margins, subthreshold slope for leakage limiting devices Noise and event tolerance Approaching fundamental noise limit may decrease reliability and MTTF Limited charge storage on circuit nodes may increase susceptibility to soft errors in both memory and logic 16

17 Sub-V t Design Challenges RDF.3 t Leakage V OUT (V).2.1 Active V (V) IN on off Sub-V t static CMOS gates exhibit variation in logic levels (V OH, V OL ) From Kwong et al., ISSCC 8 28 IEEE International Solid-State Circuits Conference 28 IEEE

18 Sub-V t Logic Functionality Degraded logic levels adversely impact functionality V OUT (V) V (V) IN Voltage (V) CLK CLK Time (µs) N2,Q N3 N4 N2 CLK N3 N4 Not completely off, causing functional failure From Kwong et al., ISSCC 8 28 IEEE International Solid-State Circuits Conference 28 IEEE

19 Sub-V t Logic Design Functional metric necessary to manage sizing trade-off t σv t 1 WL NAND NOR V OUT-NAND, V IN-NOR.2.1 NAND NOR.1.2 V OUT-NAND, V IN-NOR.2.1 NAND NOR Logic failure.1.2 V IN-NAND, V OUT-NOR V IN-NAND, V OUT-NOR From Kwong et al., ISSCC 8 28 IEEE International Solid-State Circuits Conference 28 IEEE

20 Sub-V t Standard Cell Library Occurrences 2 1 Leakage Active V OL (V) t Occurrences 1 5 Outliers V OH (V) 1 t 1 Occurrences 5 Occurrences V OL (V) From Kwong et al., ISSCC V OH (V) 28 IEEE International Solid-State Circuits Conference 28 IEEE

21 Sub-V t SRAM Challenges Hold SNM WL WL Read SNM NT 1. NC BL 1. BL NT NC NT, NC (V) Hold SNM preserved to low-voltages NT, NC (V) Read SNM degraded at low-voltages NC, NT (V) From Kwong et al., ISSCC NC, NT (V) 28 IEEE International Solid-State Circuits Conference 28 IEEE

22 SRAM Architecture and Bit-Cell Based on Verma, ISSCC 27 Buffer eliminates read SNM limitation Peripheral assists allow sub-v t writing and sensing From Kwong et al., ISSCC 8 28 IEEE International Solid-State Circuits Conference 28 IEEE

23 Volts Volts WR WR Peripheral Assists VV DD Write NT VV DD 1 1 NT NC 1 NC µs RDBL Voltage Read From Kwong et al., ISSCC 8 1 VV DD 6T Cell PCHRG 1 (256, 64 Cells) No sub-v t leakage 1 (256 Cells) 1 (64 Cells) µs 28 IEEE International Solid-State Circuits Conference 28 IEEE

24 Sub-V t Timing Analysis Challenges Order-of-magnitude higher delay variation in sub-v t Occurrences Occurrences From Kwong et al., ISSCC 8 28 IEEE International Solid-State Circuits Conference 28 IEEE

25 Comprehensive Timing Simulations Increasing mean delay Path # σ /µ (Std. dev. over mean) Simulation of 3 timing paths illustrates trends in sub-vt delay variability Delay (ns) 2 Mean delay [ns] From Kwong et al., ISSCC 8 28 IEEE International Solid-State Circuits Conference 28 IEEE 4

26 Test-Chip Summary Process DC-DC Converter SRAM Logic Minimum Energy Point Minimum Functional V DD Area Performance 65nm CMOS.12mm mm 2.14mm 2 V DD = 5mV V DD = 3mV 1.86mm Core logic (2 power domains) 128Kb SRAM array 2.29mm DC-DC converter From Kwong et al., ISSCC 8 28 IEEE International Solid-State Circuits Conference 28 IEEE

27 Conclusions Many opportunities for ultra low voltage design exist Energy Constrained Applications: wireless sensors, mobile devices, biomedical implants Minimum Power Applications: sleep and minimum leakage modes in processors and memories Main challenge is device parameter variations Threshold voltage variation severely impacts delays, noise margins in logic and SRAM Very active area of circuits research ISSCC 29 Advanced Circuits Forum on Ultra Low Voltage 17

Opportunities and Challenges in Ultra Low Voltage CMOS. Rajeevan Amirtharajah University of California, Davis

Opportunities and Challenges in Ultra Low Voltage CMOS. Rajeevan Amirtharajah University of California, Davis Opportunities and Challenges in Ultra Low Voltage CMOS Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless sensors RFID

More information

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #8: Leakage Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: Low Power Interconnect Finish Lecture 7 Leakage Mechanisms Circuit Styles for Low Leakage

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

Week 9a OUTLINE. MOSFET I D vs. V GS characteristic Circuit models for the MOSFET. Reading. resistive switch model small-signal model

Week 9a OUTLINE. MOSFET I D vs. V GS characteristic Circuit models for the MOSFET. Reading. resistive switch model small-signal model Week 9a OUTLINE MOSFET I vs. V GS characteristic Circuit models for the MOSFET resistive switch model small-signal model Reading Rabaey et al.: Chapter 3.3.2 Hambley: Chapter 12 (through 12.5); Section

More information

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation Maziar Goudarzi, Tohru Ishihara, Hiroto Yasuura System LSI Research Center Kyushu

More information

EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families

EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Homework 5 this week Lab

More information

EEC 118 Lecture #12: Dynamic Logic

EEC 118 Lecture #12: Dynamic Logic EEC 118 Lecture #12: Dynamic Logic Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Outline Today: Alternative MOS Logic Styles Dynamic MOS Logic Circuits: Rabaey

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Comparison of Power Dissipation in inverter using SVL Techniques

Comparison of Power Dissipation in inverter using SVL Techniques Comparison of Power Dissipation in inverter using SVL Techniques K. Kalai Selvi Assistant Professor, Dept. of Electronics & Communication Engineering, Government College of Engineering, Tirunelveli, India

More information

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area.

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area. Why Scaling? Higher density : Integration of more transistors onto a smaller chip : reducing the occupying area and production cost Higher Performance : Higher current drive : smaller metal to metal capacitance

More information

Lecture 11 Digital Circuits (I) THE INVERTER

Lecture 11 Digital Circuits (I) THE INVERTER Lecture 11 Digital Circuits (I) THE INVERTER Outline Introduction to digital circuits The inverter NMOS inverter with resistor pull-up Reading Assignment: Howe and Sodini; Chapter 5, Sections 5.1-5.3 6.12

More information

MOS TRANSISTOR THEORY

MOS TRANSISTOR THEORY MOS TRANSISTOR THEORY Introduction A MOS transistor is a majority-carrier device, in which the current in a conducting channel between the source and the drain is modulated by a voltage applied to the

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

Lecture 11 Circuits numériques (I) L'inverseur

Lecture 11 Circuits numériques (I) L'inverseur Lecture 11 Circuits numériques (I) L'inverseur Outline Introduction to digital circuits The inverter NMOS inverter with resistor pull-up 6.12 Spring 24 Lecture 11 1 1. Introduction to digital circuits:

More information

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) CSE 493/593 Test 2 Fall 2011 Solution 1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) Decreasing of W to make the gate slower,

More information

Pushing Ultra-Low-Power Digital Circuits

Pushing Ultra-Low-Power Digital Circuits Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era David Bol Microelectronics Laboratory Ph.D public defense December 16, 2008 Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. Power and Energy Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu The Chip is HOT Power consumption increases

More information

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 5: Basic CMOS Inverter Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture

More information

Static Energy Reduction Techniques in Microprocessor Caches

Static Energy Reduction Techniques in Microprocessor Caches Static Energy Reduction Techniques in Microprocessor Caches Heather Hanson, Stephen W. Keckler, Doug Burger Computer Architecture and Technology Laboratory Department of Computer Sciences Tech Report TR2001-18

More information

UNIVERSITY OF CALIFORNIA AT BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences.

UNIVERSITY OF CALIFORNIA AT BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences. UNIVERSITY OF CALIFORNIA AT BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences Discussion #9 EE 05 Spring 2008 Prof. u MOSFETs The standard MOSFET structure is shown

More information

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University EE 224 Solid State Electronics II Lecture 3: Lattice and symmetry 1 Outline

More information

Lecture 12 - Digital Circuits (I) The inverter. October 20, 2005

Lecture 12 - Digital Circuits (I) The inverter. October 20, 2005 6.12 - Microelectronic Devices and Circuits - Fall 25 Lecture 12-1 Lecture 12 - Digital Circuits (I) The inverter October 2, 25 Contents: 1. Introduction to digital electronics: the inverter 2. NMOS inverter

More information

ECE315 / ECE515 Lecture 9 Date:

ECE315 / ECE515 Lecture 9 Date: Lecture 9 Date: 03.09.2015 Biasing in MOS Amplifier Circuits Biasing using Single Power Supply The general form of a single-supply MOSFET amplifier biasing circuit is: We typically attempt to satisfy three

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Lecture 16 Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Outline Complementary metal oxide semiconductor (CMOS) Inverting circuit Properties Operating points Propagation delay Power dissipation

More information

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University Low-Power VLSI Seong-Ook Jung 2011. 5. 6. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical l & Electronic Engineering i Contents 1. Introduction 2. Power classification 3. Power

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Sub-Threshold Region Behavior of Long Channel MOSFET

Sub-Threshold Region Behavior of Long Channel MOSFET Sub-threshold Region - So far, we have discussed the MOSFET behavior in linear region and saturation region - Sub-threshold region is refer to region where Vt is less than Vt - Sub-threshold region reflects

More information

EECS3611 Analog Integrated Circuit Design. Lecture 3. Current Source and Current Mirror

EECS3611 Analog Integrated Circuit Design. Lecture 3. Current Source and Current Mirror EECS3611 Analog ntegrated Circuit Design Lecture 3 Current Source and Current Mirror ntroduction Before any device can be used in any application, it has to be properly biased so that small signal AC parameters

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

EEC 118 Lecture #1: MOSFET Overview. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #1: MOSFET Overview. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #1: MOSFET Overview Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Permissions to Use Conditions & Acknowledgment Permission is granted to copy and

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information

Jan Rabaey, «Low Powere Design Essentials," Springer tml

Jan Rabaey, «Low Powere Design Essentials, Springer tml Jan Rabaey, «e Design Essentials," Springer 2009 http://web.me.com/janrabaey/lowpoweressentials/home.h tml Dimitrios Soudris, Christian Piguet, and Costas Goutis, Designing CMOS Circuits for Low POwer,

More information

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique James Lin, Masaya Miyahara and Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Laḃ

More information

EE 330 Laboratory 7 MOSFET Device Experimental Characterization and Basic Applications Spring 2017

EE 330 Laboratory 7 MOSFET Device Experimental Characterization and Basic Applications Spring 2017 EE 330 Laboratory 7 MOSFET Device Experimental Characterization and Basic Applications Spring 2017 Objective: The objective of this laboratory experiment is to become more familiar with the operation of

More information

電子電路. Memory and Advanced Digital Circuits

電子電路. Memory and Advanced Digital Circuits 電子電路 Memory and Advanced Digital Circuits Hsun-Hsiang Chen ( 陳勛祥 ) Department of Electronic Engineering National Changhua University of Education Email: chenhh@cc.ncue.edu.tw Spring 2010 2 Reference Microelectronic

More information

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS http:// A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS Ruchiyata Singh 1, A.S.M. Tripathi 2 1,2 Department of Electronics and Communication Engineering, Mangalayatan University

More information

D n ox GS THN DS GS THN DS GS THN. D n ox GS THN DS GS THN DS GS THN

D n ox GS THN DS GS THN DS GS THN. D n ox GS THN DS GS THN DS GS THN Name: EXAM #3 Closed book, closed notes. Calculators may be used for numeric computations only. All work is to be your own - show your work for maximum partial credit. Data: Use the following data in all

More information

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3 [Partly adapted from Irwin and Narayanan, and Nikolic] 1 Reminders CAD assignments Please submit CAD5 by tomorrow noon CAD6 is due

More information

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Microelectronics and Solid State Electronics 2013, 2(2): 24-28 DOI: 10.5923/j.msse.20130202.02 Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Keerti Kumar. K

More information

Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits using Modified Sleepy Keeper

Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits using Modified Sleepy Keeper IJECT Vo l. 6, Is s u e 4, Oc t - De c 2015 ISSN : 2230-7109 (Online) ISSN : 2230-9543 (Print) Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits using Modified Sleepy Keeper

More information

4: Transistors Non idealities

4: Transistors Non idealities 4: Transistors Non idealities Inversion Major cause of non-idealities/complexities: Who controls channel (and how)? Large Body(Substrate) Source Voltage V G V SB - - - - - - - - n+ n+ - - - - - - - - -

More information

Digital Electronics. Assign 1 and 0 to a range of voltage (or current), with a separation that minimizes a transition region. Positive Logic.

Digital Electronics. Assign 1 and 0 to a range of voltage (or current), with a separation that minimizes a transition region. Positive Logic. Digital Electronics Assign 1 and 0 to a range of voltage (or current), with a separation that minimizes a transition region Positive Logic Logic 1 Negative Logic Logic 0 Voltage Transition Region Transition

More information

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com COMPARISON AMONG DIFFERENT INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN HARSHVARDHAN UPADHYAY* ABHISHEK CHOUBEY**

More information

VLSI Based Design of Low Power and Linear CMOS Temperature Sensor

VLSI Based Design of Low Power and Linear CMOS Temperature Sensor VLSI Based Design of Low Power and Linear CMOS Temperature Sensor Poorvi Jain 1, Pramod Kumar Jain 2 1 Research Scholar (M.Teh), Department of Electronics and Instrumentation,SGSIS, Indore 2 Associate

More information

Ultra Low Power VLSI Design: A Review

Ultra Low Power VLSI Design: A Review International Journal of Emerging Engineering Research and Technology Volume 4, Issue 3, March 2016, PP 11-18 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Ultra Low Power VLSI Design: A Review G.Bharathi

More information

Chapter 6 DIFFERENT TYPES OF LOGIC GATES

Chapter 6 DIFFERENT TYPES OF LOGIC GATES Chapter 6 DIFFERENT TYPES OF LOGIC GATES Lesson 8 NMOS gates Ch06L8-"Digital Principles and Design", Raj Kamal, Pearson Education, 2006 2 Outline NMOS (n-channel based MOSFETs based circuit) NMOS Features

More information

A Static Power Model for Architects

A Static Power Model for Architects A Static Power Model for Architects J. Adam Butts and Guri Sohi University of Wisconsin-Madison {butts,sohi}@cs.wisc.edu 33rd International Symposium on Microarchitecture Monterey, California December,

More information

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits In this lab, we will be looking at ac signals with MOSFET circuits and digital electronics. The experiments will be performed

More information

Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL)

Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL) International Journal of Electronics Engineering, (1), 010, pp. 19-3 Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL) Ashutosh Nandi 1, Gaurav Saini, Amit Kumar Jaiswal

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics ECE 484 VLSI Digital Circuits Fall 2016 Lecture 02: Design Metrics Dr. George L. Engel Adapted from slides provided by Mary Jane Irwin (PSU) [Adapted from Rabaey s Digital Integrated Circuits, 2002, J.

More information

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform

More information

A Thermally-Aware Methodology for Design-Specific Optimization of Supply and Threshold Voltages in Nanometer Scale ICs

A Thermally-Aware Methodology for Design-Specific Optimization of Supply and Threshold Voltages in Nanometer Scale ICs A Thermally-Aware Methodology for Design-Specific Optimization of Supply and Threshold Voltages in Nanometer Scale ICs ABSTRACT Sheng-Chih Lin, Navin Srivastava and Kaustav Banerjee Department of Electrical

More information

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits Noise in Digital Integrated Circuits Lecture 4 The CMOS Inverter i(t) v(t) V DD Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail:

More information

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique Total reduction of leakage power through combined effect of Sleep and variable body biasing technique Anjana R 1, Ajay kumar somkuwar 2 Abstract Leakage power consumption has become a major concern for

More information

Reading. Lecture 17: MOS transistors digital. Context. Digital techniques:

Reading. Lecture 17: MOS transistors digital. Context. Digital techniques: Reading Lecture 17: MOS transistors digital Today we are going to look at the analog characteristics of simple digital devices, 5. 5.4 And following the midterm, we will cover PN diodes again in forward

More information

Lectures 4 and 5: Delay Modeling

Lectures 4 and 5: Delay Modeling EE241 - Spring 2005 Advanced Digital Integrated Circuits Lectures 4 and 5: Delay Modeling ISSCC 2005 Keynotes (Monday Morning) Nanoelectronics for the Ubiquitous Information Society, Daeje Chin, Minister

More information

DIGITAL VLSI LAB ASSIGNMENT 1

DIGITAL VLSI LAB ASSIGNMENT 1 DIGITAL VLSI LAB ASSIGNMENT 1 Problem 1: NMOS and PMOS plots using Cadence. In this exercise, you are required to generate both NMOS and PMOS I-V device characteristics (I/P and O/P) using Cadence (Use

More information

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits Objectives In this lecture you will learn the following Ratioed Logic Pass Transistor Logic Dynamic Logic Circuits

More information

Sub-threshold Logic Circuit Design using Feedback Equalization

Sub-threshold Logic Circuit Design using Feedback Equalization Sub-threshold Logic Circuit esign using Feedback Equalization Mahmoud Zangeneh and Ajay Joshi Electrical and Computer Engineering epartment, Boston University, Boston, MA, USA {zangeneh, joshi}@bu.edu

More information

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology. " Gate choice, logical optimization. " Fanin, fanout, Serial vs.

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology.  Gate choice, logical optimization.  Fanin, fanout, Serial vs. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Design Space Exploration Lec 18: March 28, 2017 Design Space Exploration, Synchronous MOS Logic, Timing Hazards 3 Design Problem Problem Solvable!

More information

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES 41 In this chapter, performance characteristics of a two input NAND gate using existing subthreshold leakage

More information

SCALING power supply has become popular in lowpower

SCALING power supply has become popular in lowpower IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 1, JANUARY 2012 55 Design of a Subthreshold-Supply Bootstrapped CMOS Inverter Based on an Active Leakage-Current Reduction Technique

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 2: Basic MOS Physics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture Semiconductor

More information

Single Ended Static Random Access Memory for Low-V dd, High-Speed Embedded Systems

Single Ended Static Random Access Memory for Low-V dd, High-Speed Embedded Systems Single Ended Static Random Access Memory for Low-V dd, High-Speed Embedded Systems Jawar Singh, Jimson Mathew, Saraju P. Mohanty and Dhiraj K. Pradhan Department of Computer Science, University of Bristol,

More information

ECE 471/571 The CMOS Inverter Lecture-6. Gurjeet Singh

ECE 471/571 The CMOS Inverter Lecture-6. Gurjeet Singh ECE 471/571 The CMOS Inverter Lecture-6 Gurjeet Singh NMOS-to-PMOS ratio,pmos are made β times larger than NMOS Sizing Inverters for Performance Conclusions: Intrinsic delay tp0 is independent of sizing

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Lecture 13 CMOS Power Dissipation

Lecture 13 CMOS Power Dissipation EE 471: Transport Phenomena in Solid State Devices Spring 2018 Lecture 13 CMOS Power Dissipation Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology Hoboken,

More information

DESIGN OF A CHARGE PUMP-BASED BODY BIAS GENERATOR FOR FDSOI CIRCUITS A

DESIGN OF A CHARGE PUMP-BASED BODY BIAS GENERATOR FOR FDSOI CIRCUITS A DESIGN OF A CHARGE PUMP-BASED BODY BIAS GENERATOR FOR FDSOI CIRCUITS A Master's Thesis Submitted to the Faculty of the Escola Tècnica d'enginyeria de Telecomunicació de Barcelona Universitat Politècnica

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

Field Effect Transistors (FET s) University of Connecticut 136

Field Effect Transistors (FET s) University of Connecticut 136 Field Effect Transistors (FET s) University of Connecticut 136 Field Effect Transistors (FET s) FET s are classified three ways: by conduction type n-channel - conduction by electrons p-channel - conduction

More information

3: MOS Transistors. Non idealities

3: MOS Transistors. Non idealities 3: MOS Transistors Non idealities Inversion Major cause of non-idealities/complexities: Who controls channel (and how)? Large Body(Substrate) Source Voltage V G V SB - - - - - - - - n+ n+ - - - - - - -

More information

EEC 118 Spring 2010 Lab #1: NMOS and PMOS Transistor Parameters

EEC 118 Spring 2010 Lab #1: NMOS and PMOS Transistor Parameters EEC 118 Spring 2010 Lab #1: NMOS and PMOS Transistor Parameters Dept. of Electrical and Computer Engineering University of California, Davis March 18, 2010 Reading: Rabaey Chapter 3 [1]. Reference: Kang

More information

Session 2 MOS Transistor for RF Circuits

Session 2 MOS Transistor for RF Circuits Session 2 MOS Transistor for RF Circuits Session Speaker Chandramohan P. Session Contents MOS transistor basics MOS equivalent circuit Single stage amplifiers Opamp design Session objectives To understand

More information

CPE/EE 427, CPE 527 VLSI Design I CMOS Inverter. CMOS Inverter: A First Look

CPE/EE 427, CPE 527 VLSI Design I CMOS Inverter. CMOS Inverter: A First Look CPE/EE 427, CPE 527 VLSI Design I CMOS Inverter Department of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic CMOS Inverter: A First Look C L 9/11/26 VLSI

More information

8-Bit Sub Threshold Ripple Carry Adders in 32nm CMOS Technology for Wireless Sensor nodes.

8-Bit Sub Threshold Ripple Carry Adders in 32nm CMOS Technology for Wireless Sensor nodes. 8-Bit Sub Threshold Ripple Carry Adders in 32nm CMOS Technology for Wireless Sensor nodes. R. SAVARI RANI DR.C.CHRISTOBER ASIR RAJAN ARINIV.H Abstract Subthreshold design has been proposed in the literature

More information

Digital circuits. Bởi: Sy Hien Dinh

Digital circuits. Bởi: Sy Hien Dinh Digital circuits Bởi: Sy Hien Dinh This module presents the basic concepts of MOSFET digital logic circuits. We will examine NMOS logic circuits, which contain only n-channel transistors, and complementary

More information

Designing Of A New Low Voltage CMOS Schmitt Trigger Circuit And Its Applications on Reduce Power Dissipation

Designing Of A New Low Voltage CMOS Schmitt Trigger Circuit And Its Applications on Reduce Power Dissipation IJISET - International Journal of Innovative Science, Engineering & Technology, Vol. Issue 1, December 015. www.ijiset.com ISSN 348 7968 Designing Of A New Low Voltage CMOS Schmitt Trigger Circuit And

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

Low Power Techniques for SoC Design: basic concepts and techniques

Low Power Techniques for SoC Design: basic concepts and techniques Low Power Techniques for SoC Design: basic concepts and techniques Estagiário de Docência M.Sc. Vinícius dos Santos Livramento Prof. Dr. Luiz Cláudio Villar dos Santos Embedded Systems - INE 5439 Federal

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

8. Combinational MOS Logic Circuits

8. Combinational MOS Logic Circuits 8. Combinational MOS Introduction Combinational logic circuits, or gates, witch perform Boolean operations on multiple input variables and determine the output as Boolean functions of the inputs, are the

More information

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-30nm CMOS Technologies Bhaskar Chatterjee, Manoj Sachdev Ram Krishnamurthy * Department of Electrical and Computer

More information

EECE2412 Final Exam. with Solutions

EECE2412 Final Exam. with Solutions EECE2412 Final Exam with Solutions Prof. Charles A. DiMarzio Department of Electrical and Computer Engineering Northeastern University Fall Semester 2010 My file 11480/exams/final General Instructions:

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

A 23 nw CMOS ULP Temperature Sensor Operational from 0.2 V

A 23 nw CMOS ULP Temperature Sensor Operational from 0.2 V A 23 nw CMOS ULP Temperature Sensor Operational from 0.2 V Divya Akella Kamakshi 1, Aatmesh Shrivastava 2, and Benton H. Calhoun 1 1 Dept. of Electrical Engineering, University of Virginia, Charlottesville,

More information

A Novel Technique to Reduce Write Delay of SRAM Architectures

A Novel Technique to Reduce Write Delay of SRAM Architectures A Novel Technique to Reduce Write Delay of SRAM Architectures SWAPNIL VATS AND R.K. CHAUHAN * Department of Electronics and Communication Engineering M.M.M. Engineering College, Gorahpur-73 010, U.P. INDIA

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

Low Voltage SC Circuit Design with Low - V t MOSFETs

Low Voltage SC Circuit Design with Low - V t MOSFETs Low Voltage SC Circuit Design with Low - V t MOSFETs Seyfi S. azarjani and W. Martin Snelgrove Department of Electronics, Carleton University, Ottawa Canada K1S-56 Tel: (613)763-8473, E-mail: seyfi@doe.carleton.ca

More information

Lecture 13. Biasing and Loading Single Stage FET Amplifiers. The Building Blocks of Analog Circuits - III

Lecture 13. Biasing and Loading Single Stage FET Amplifiers. The Building Blocks of Analog Circuits - III Lecture 3 Biasing and Loading Single Stage FET Amplifiers The Building Blocks of Analog Circuits III In this lecture you will learn: Current biasing of circuits Current sources and sinks for CS, CG, and

More information

MOSFET Terminals. The voltage applied to the GATE terminal determines whether current can flow between the SOURCE & DRAIN terminals.

MOSFET Terminals. The voltage applied to the GATE terminal determines whether current can flow between the SOURCE & DRAIN terminals. MOSFET Terminals The voltage applied to the GATE terminal determines whether current can flow between the SOURCE & DRAIN terminals. For an n-channel MOSFET, the SOURCE is biased at a lower potential (often

More information

CHAPTER-2 BASICS. The Basics chapter emphasizes upon the various concepts that are

CHAPTER-2 BASICS. The Basics chapter emphasizes upon the various concepts that are 8 CHAPTER-2 BASICS The Basics chapter emphasizes upon the various concepts that are involved in the functioning of MOS devices, effect of threshold voltage on characteristics, sub threshold conduction,

More information

PRECISION N-CHANNEL EPAD MOSFET ARRAY DUAL HIGH DRIVE NANOPOWER MATCHED PAIR

PRECISION N-CHANNEL EPAD MOSFET ARRAY DUAL HIGH DRIVE NANOPOWER MATCHED PAIR TM ADVANCED LINEAR DEVICES, INC. PRECISION N-CHANNEL EPAD MOSFET ARRAY DUAL HIGH DRIVE NANOPOWER MATCHED PAIR e EPAD ALD194 E N A B L E D VGS(th)= +.4V GENERAL DESCRIPTION FEATURES & BENEFITS The ALD194

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

DESIGN AND ANALYSIS OF SUB 1-V BANDGAP REFERENCE (BGR) VOLTAGE GENERATORS FOR PICOWATT LSI s.

DESIGN AND ANALYSIS OF SUB 1-V BANDGAP REFERENCE (BGR) VOLTAGE GENERATORS FOR PICOWATT LSI s. http:// DESIGN AND ANALYSIS OF SUB 1-V BANDGAP REFERENCE (BGR) VOLTAGE GENERATORS FOR PICOWATT LSI s. Shivam Mishra 1, K. Suganthi 2 1 Research Scholar in Mech. Deptt, SRM University,Tamilnadu 2 Asst.

More information