A 0.55 V 7-bit 160 MS/s Interpolated Pipeline ADC Using Dynamic Amplifiers

Size: px
Start display at page:

Download "A 0.55 V 7-bit 160 MS/s Interpolated Pipeline ADC Using Dynamic Amplifiers"

Transcription

1 A 0.55 V 7-bit 160 MS/s Interpolated Pipeline ADC Using Dynamic Amplifiers James Lin, Daehwa Paik, Seungjong Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Laḃ

2 Outline Motivation Prior Arts Circuit Design Measurement Results Conclusion 2

3 Supply voltage (V) Motivation Ultra-low-voltage (ULV) operation Immediate power saving potential Explore new circuit techniques for future technology [1] Key Challenges Reduced SNR Reduced headroom Reduced gain Increased mismatch Year [1] ITRS,

4 Outline Motivation Prior Arts Circuit Design Measurement Results Conclusion 4

5 Prior Arts Successfully demonstrated very good energy efficiency but all suffer in speed Body-Driven [2] Sub-threshold [3] SAR-based [4],[5] V in CLK V in CDAC V biasn V in SAR Logic [2] S. Chatterjee et al., JSSC [4] A. Shikata et al., JSSC [3] D. C. Daly et al., JSSC [5] P. Harpe et al., ISSCC

6 Outline Motivation Prior Arts Circuit Design Measurement Results Conclusion 6

7 Circuit Techniques Overview ADC architecture Dynamic amplifier Interpolation technique Sub-ADC structure Self-clocking scheme 7

8 Dynamic Amplifier Minimally stacked amplifier achieves high speed at low supply voltage [6] V outp CMD V outn CLK Pre-charge Phase Amplification Phase V outp V inp V inn Voltage Vout CLK V oc V outn Vout CLK CMD: Common-mode voltage detector Time P d = fcv DD 2 [6] J. Lin, et al., ISCAS

9 ADC Block Diagram Ultra-low-voltage interpolated pipeline ADC Dynamic Amplifiers STAGE1 STAGE2 PS-RDAC STAGE3 V in Sample & CDAC A1a IntCaps A2a CMP1 CMP2 CMP3 V ref Sample & CDAC A1b IntCaps A2b D 1st (3b) D 2nd (2b+1b) Correction Logic D 3rd (2b) 7b 9

10 Interpolation Technique Interpolation shifts the gain requirement: absolute relative gain accuracy [7], [8] V oa V out V oa :V ob = 3:1 V oa :V ob = 1:1 V oa :V ob = 1:3 V in V oa V out V in V ob V ob Different absolute gain, same decision levels! [7] A. Matsuzawa, et al., ISSCC [8] C. Mangelsdorf, et al., ISSCC

11 Interpolated Pipeline Same path for both signal and references Conventional Interpolation V refp 4X V refp Any Gain V in 4X V in V refn V refn Fixed references Embedded references 11

12 High-Speed Dynamic Amplifier Dynamic amplifier with an inverter-based CMD for high-speed operation Inverter-based CMD from PS-RDAC from PS-RDAC CLK CLK V out V inp V inn V xn V xp IntCaps IntCaps Gain = a(v DD -V oc )/V eff, 1<a<2 12

13 Next Stage Pseudo-Static RDAC Pseudo-static RDAC is proposed to calibrate the common-mode voltage during startup V PS-RDAC CMP + Counter V RDAC A1a A1b V xa V xb V RDAC IntCaps IntCaps V oa V oc = (V oa +V ob )/2 V ob V COM Target (V com ) AMP V oc CMP Interpolate Time 13

14 Capacitive Interpolation [9] Absolute gain relative gain accuracy Interpolation is controlled by the sub-adc from PS-RDAC from PS-RDAC V ia A1a V xa to A2a V ia A1a V xa to A2a V ib A1b V xb to A2b V ib A1b V xb to A2b Sampling Phase Interpolation Phase [9] M. Miyahara, et al., VLSI Circuits

15 Sub-ADC Gate-weighted interpolation comparators with a time-based offset calibration A1a V DD CLK V DD Timing cal. V DD D out - + V DD CLK P CLK N A1b W a W b W a W b V inpa V inpb V inna V innb Gate-weighted interpolation 3-bit sub-adc Dynamic Comparator [10] Y. Asada, et al., A-SSCC [11] M. Miyahara, et al. A-SSCC

16 Self-Clocking Internal signals trigger the subsequent stages to maximize speed performance [12], [13] Generated CLK2 AMP CMP Hold Reset AMP1 AMP1's Trigger 1 Start CMP2 Start CMP2 CMP2 CMP2's Trigger Output of STAGE2 2 Start AMP2 Generated CLK3 AMP2 Reset STAGE3 Reset STAGE3 AMP2's Trigger 3 Start CMP3 Time [12] J. Yang, et al., JSSC [13] R. Kapusta, et al., ISSCC

17 Outline Motivation Prior Arts Circuit Design Measurement Results Conclusion 17

18 INL (LSB) DNL (LSB) Measured DNL and INL Startup calibration: timing cal. + common-mode cal Uncalibrated Timing Cal. V cm Cal / -1 LSB +1.7/ LSB / LSB / -3.1 LSB / LSB / LSB Digital code 18

19 SFDR and SNDR (db) SFDR and SNDR (db) Measured SFDR and SNDR >38 db of SNDR is measured up to 160 MS/s with an ERBW >80 MHz Consumes 2.43 mw at 160 MS/s, FoM=240 fj/c.-s. SFDR & SNDR vs. f s SFDR & SNDR vs. f in f in = 1 MHz SFDR SNDR Conversion rate (MS/s) f s = 160 MS/s SFDR SNDR Input frequency (MHz) 19

20 Power consumption (mw) Clock-Scalable Power Performance Dynamic amplifier enables clockscalability in ADC s power performance Conversion rate (MS/s) 20

21 STAGE1 STAGE2 STAGE3 Chip Photo Prototype ADC is fabricated in 90 nm CMOS with the low threshold and deep N-well options Occupied area is 0.25 mm mm DEC 320 mm 21

22 Performance Comparison Fastest ULV ADC compared to other stateof-the-art ULV high-speed ADCs [14] [15] [16] [17] This work Architecture Flash Pipeline Pipeline Pipeline Pipeline Resolution (bit) Supply voltage (V) /0.5* f s (MS/s) Power (mw) ENOB (bit) FoM (fj/c.-s.) Technology (nm) Active area (mm 2 ) [14] J. E. Proesel, et al., CICC [16] Y. J. Kim, et al., CICC *Analog V DD = 0.55 V, Digital V DD = 0.5 V [15] J. Shen, et al., JSSC [17] S. Lee, et al., JSSC

23 Conversion rate (MS/s) Summary of ULV Pipeline ADC Proposed ADC demonstrates the feasibility of ULV high-speed analog circuit design This work CICC 2008 [14] JSSC 2008 [15] A-SSCC 2006 V DD =0.6 V V DD =0.55 V V DD =0.5 V V DD =0.4 V JSSC 2009 [3] CICC 2007 [16] JSSC 2012 [17] JSSC 2012 [4] ISSCC 2013 [5] JSSC SNDR (db) 23

24 Outline Motivation Prior Arts Circuit Design Measurement Results Conclusion 24

25 Conclusion 0.55 V, 7-bit, 160 MS/s, 2.43 mw pipeline ADC is realized using dynamic amplifiers and interpolation Demonstrates the feasibility of ultra-lowvoltage high-speed analog circuit design Proposed techniques are suitable for ULV and nominal-voltage high-speed circuits 25

26 Acknowledgement This work was partially supported by NEDO, Huawei, Berkeley Design Automation for the use of the Analog FastSPICE (AFS) Platform, and VDEC in collaboration with Cadence Design Systems, Inc. 26

27 Thank you for your interest! James Lin, 27

A 6-bit Subranging ADC using Single CDAC Interpolation

A 6-bit Subranging ADC using Single CDAC Interpolation A 6-bit Subranging ADC using Single CDAC Interpolation Hyunui Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline Background Interpolation techniques 6-bit, 500 MS/s

More information

Proposing. An Interpolated Pipeline ADC

Proposing. An Interpolated Pipeline ADC Proposing An Interpolated Pipeline ADC Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Lab. Background 38GHz long range mm-wave system Role of long range mm-wave Current Optical

More information

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier Hyunui Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline Background Body voltage controlled

More information

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique James Lin, Masaya Miyahara and Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Laḃ

More information

A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs

A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs 1 A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs Masaya Miyahara, Yusuke Asada, Daehwa Paik and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline 2 Motivation The Calibration

More information

Scalable and Synthesizable. Analog IPs

Scalable and Synthesizable. Analog IPs Scalable and Synthesizable Analog IPs Akira Matsuzawa Tokyo Institute of Technology Background and Motivation 1 Issues It becomes more difficult to obtain good analog IPs Insufficient design resources

More information

A stability-improved single-opamp third-order ΣΔ modulator by using a fully-passive noise-shaping SAR ADC and passive adder

A stability-improved single-opamp third-order ΣΔ modulator by using a fully-passive noise-shaping SAR ADC and passive adder A stability-improved single-opamp third-order ΣΔ modulator by using a fully-passive noise-shaping SAR ADC and passive adder Zhijie Chen, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology,

More information

A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique

A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique 1 A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique Masaya Miyahara and Akira Matsuzawa Tokyo Institute of Technology, Japan 2 Outline Motivation Design Concept

More information

A 9.35-ENOB, 14.8 fj/conv.-step Fully- Passive Noise-Shaping SAR ADC

A 9.35-ENOB, 14.8 fj/conv.-step Fully- Passive Noise-Shaping SAR ADC A 9.35-ENOB, 14.8 fj/conv.-step Fully- Passive Noise-Shaping SAR ADC Zhijie Chen, Masaya Miyahara, Akira Matsuzawa Tokyo Institute of Technology Symposia on VLSI Technology and Circuits Outline Background

More information

Asynchronous SAR ADC: Past, Present and Beyond. Mike Shuo-Wei Chen University of Southern California MWSCAS 2014

Asynchronous SAR ADC: Past, Present and Beyond. Mike Shuo-Wei Chen University of Southern California MWSCAS 2014 Asynchronous SAR ADC: Past, Present and Beyond Mike Shuo-Wei Chen University of Southern California MWSCAS 2014 1 Roles of ADCs Responsibility of ADC is increasing more BW, more dynamic range Potentially

More information

A VCO-Based ADC Employing a Multi- Phase Noise-Shaping Beat Frequency Quantizer for Direct Sampling of Sub-1mV Input Signals

A VCO-Based ADC Employing a Multi- Phase Noise-Shaping Beat Frequency Quantizer for Direct Sampling of Sub-1mV Input Signals A VCO-Based ADC Employing a Multi- Phase Noise-Shaping Beat Frequency Quantizer for Direct Sampling of Sub-1mV Input Signals Bongjin Kim, Somnath Kundu, Seokkyun Ko and Chris H. Kim University of Minnesota,

More information

EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s

EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s B.Padmavathi, ME (VLSI Design), Anand Institute of Higher Technology, Chennai, India krishypadma@gmail.com Abstract In electronics, a comparator

More information

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 25.4 A 1.8V 14b 10MS/s Pipelined ADC in 0.18µm CMOS with 99dB SFDR Yun Chiu, Paul R. Gray, Borivoje Nikolic University of California, Berkeley,

More information

A 35 fj 10b 160 MS/s Pipelined- SAR ADC with Decoupled Flip- Around MDAC and Self- Embedded Offset Cancellation

A 35 fj 10b 160 MS/s Pipelined- SAR ADC with Decoupled Flip- Around MDAC and Self- Embedded Offset Cancellation Y. Zu, C.- H. Chan, S.- W. Sin, S.- P. U, R.P. Martins, F. Maloberti: "A 35 fj 10b 160 MS/s Pipelined-SAR ADC with Decoupled Flip-Around MDAC and Self- Embedded Offset Cancellation"; IEEE Asian Solid-

More information

Workshop ESSCIRC. Low-Power Data Acquisition System For Very Small Signals At Low Frequencies With12-Bit- SAR-ADC. 17. September 2010.

Workshop ESSCIRC. Low-Power Data Acquisition System For Very Small Signals At Low Frequencies With12-Bit- SAR-ADC. 17. September 2010. Workshop ESSCIRC Low-Power Data Acquisition System For Very Small Signals At Low Frequencies With12-Bit- SAR-ADC 17. September 2010 Christof Dohmen Outline System Overview Analog-Front-End Chopper-Amplifier

More information

A Dual-Step-Mixing ILFD using a Direct Injection Technique for High- Order Division Ratios in 60GHz Applications

A Dual-Step-Mixing ILFD using a Direct Injection Technique for High- Order Division Ratios in 60GHz Applications A Dual-Step-Mixing ILFD using a Direct Injection Technique for High- Order Division Ratios in 60GHz Applications Teerachot Siriburanon, Wei Deng, Ahmed Musa, Kenichi Okada, and Akira Matsuzawa Tokyo Institute

More information

A Two- Bit- per- Cycle Successive- Approximation ADC with Background Offset Calibration

A Two- Bit- per- Cycle Successive- Approximation ADC with Background Offset Calibration M. Casubolo, M. Grassi, A. Lombardi, F. Maloberti, P. Malcovati: "A Two-Bit-per- Cycle Successive-Approximation ADC with Background Calibration"; 15th IEEE Int. Conf. on Electronics, Circuits and Systems,

More information

A Digitally Enhanced 1.8-V 15-b 40- Msample/s CMOS Pipelined ADC

A Digitally Enhanced 1.8-V 15-b 40- Msample/s CMOS Pipelined ADC A Digitally Enhanced.8-V 5-b 4- Msample/s CMOS d ADC Eric Siragusa and Ian Galton University of California San Diego Now with Analog Devices San Diego California Outline Conventional PADC Example Digitally

More information

RECENTLY, low-voltage and low-power circuit design

RECENTLY, low-voltage and low-power circuit design IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 4, APRIL 2008 319 A Programmable 0.8-V 10-bit 60-MS/s 19.2-mW 0.13-m CMOS ADC Operating Down to 0.5 V Hee-Cheol Choi, Young-Ju

More information

All-digital ramp waveform generator for two-step single-slope ADC

All-digital ramp waveform generator for two-step single-slope ADC All-digital ramp waveform generator for two-step single-slope ADC Tetsuya Iizuka a) and Kunihiro Asada VLSI Design and Education Center (VDEC), University of Tokyo 2-11-16 Yayoi, Bunkyo-ku, Tokyo 113-0032,

More information

A 130mW 100MS/s Pipelined ADC with 69dB SNDR Enabled by Digital Harmonic Distortion Correction. Andrea Panigada, Ian Galton

A 130mW 100MS/s Pipelined ADC with 69dB SNDR Enabled by Digital Harmonic Distortion Correction. Andrea Panigada, Ian Galton A 130mW 100MS/s Pipelined ADC with 69dB SNDR Enabled by Digital Harmonic Distortion Correction Andrea Panigada, Ian Galton University of California at San Diego, La Jolla, CA INTEGRATED SIGNAL PROCESSING

More information

DESIGN OF A 500MHZ, 4-BIT LOW POWER ADC FOR UWB APPLICATION

DESIGN OF A 500MHZ, 4-BIT LOW POWER ADC FOR UWB APPLICATION DESIGN OF A 500MHZ, 4-BIT LOW POWER ADC FOR UWB APPLICATION SANTOSH KUMAR PATNAIK 1, DR. SWAPNA BANERJEE 2 1,2 E & ECE Department, Indian Institute of Technology, Kharagpur, Kharagpur, India Abstract-This

More information

A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS

A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS Shruti Gatade 1, M. Nagabhushan 2, Manjunath.R 3 1,3 Student, Department of ECE, M S Ramaiah Institute of Technology, Bangalore (India) 2 Assistant

More information

Architectures and circuits for timeinterleaved. Sandeep Gupta Teranetics, Santa Clara, CA

Architectures and circuits for timeinterleaved. Sandeep Gupta Teranetics, Santa Clara, CA Architectures and circuits for timeinterleaved ADC s Sandeep Gupta Teranetics, Santa Clara, CA Outline Introduction to time-interleaved architectures. Conventional Sampling architectures and their application

More information

A 12b 50MS/s 2.1mW SAR ADC with redundancy and digital background calibration

A 12b 50MS/s 2.1mW SAR ADC with redundancy and digital background calibration A b 5MS/s.mW SAR ADC with redundancy and digital background calibration The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As

More information

A 2-bit/step SAR ADC structure with one radix-4 DAC

A 2-bit/step SAR ADC structure with one radix-4 DAC A 2-bit/step SAR ADC structure with one radix-4 DAC M. H. M. Larijani and M. B. Ghaznavi-Ghoushchi a) School of Engineering, Shahed University, Tehran, Iran a) ghaznavi@shahed.ac.ir Abstract: In this letter,

More information

Acronyms. ADC analog-to-digital converter. BEOL back-end-of-line

Acronyms. ADC analog-to-digital converter. BEOL back-end-of-line Acronyms ADC analog-to-digital converter BEOL back-end-of-line CDF cumulative distribution function CMOS complementary metal-oxide-semiconductor CPU central processing unit CR charge-redistribution CS

More information

12-Bit 1-channel 4 MSPS ADC

12-Bit 1-channel 4 MSPS ADC SPECIFICATION 1 FEATURES 12-Bit 1-channel 4 MSPS ADC TSMC CMOS 65 nm Resolution 12 bit Single power supplies for digital and analog parts (2.5 V) Sampling rate up to 4 MSPS Standby mode (current consumption

More information

Another way to implement a folding ADC

Another way to implement a folding ADC Another way to implement a folding ADC J. Van Valburg and R. van de Plassche, An 8-b 650 MHz Folding ADC, IEEE JSSC, vol 27, #12, pp. 1662-6, Dec 1992 Coupled Differential Pair J. Van Valburg and R. van

More information

CMOS ADC & DAC Principles

CMOS ADC & DAC Principles CMOS ADC & DAC Principles Willy Sansen KULeuven, ESAT-MICAS Leuven, Belgium willy.sansen@esat.kuleuven.be Willy Sansen 10-05 201 Table of contents Definitions Digital-to-analog converters Resistive Capacitive

More information

A Low Power Analog Front End Capable of Monitoring Knee Movements to Detect Injury

A Low Power Analog Front End Capable of Monitoring Knee Movements to Detect Injury A Low Power Analog Front End Capable of Monitoring Knee Movements to Detect Injury Garren Boggs, Hua Chen, Sridhar Sivapurapu ECE 6414 Final Presentation Outline Motivation System Overview Analog Front

More information

Figure 1 Typical block diagram of a high speed voltage comparator.

Figure 1 Typical block diagram of a high speed voltage comparator. IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 6, Ver. I (Nov. - Dec. 2016), PP 58-63 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design of Low Power Efficient

More information

An ultra-low energy analog and RF circuit technology for emerging applications

An ultra-low energy analog and RF circuit technology for emerging applications 超低エネルギーアナログ RF 回路技術と新規分野への展開 An ultra-low energy analog and RF circuit technology for emerging applications Akira Dept. of Physical Electronics Graduate School of Science and Engineering 2009/11/30 Lab.

More information

A 4-bit High Speed, Low Power Flash ADC by Employing Binary Search Algorithm 1 Brahmaiah Throvagunta, 2 Prashant K Shah

A 4-bit High Speed, Low Power Flash ADC by Employing Binary Search Algorithm 1 Brahmaiah Throvagunta, 2 Prashant K Shah A 4-bit High Speed, Low Power Flash ADC by Employing Binary Search Algorithm 1 Brahmaiah Throvagunta, 2 Prashant K Shah 1 Master of Technology,Dept. of VLSI &Embedded Systems,Sardar Vallabhbhai National

More information

IMPLEMENTING THE 10-BIT, 50MS/SEC PIPELINED ADC

IMPLEMENTING THE 10-BIT, 50MS/SEC PIPELINED ADC 98 CHAPTER 5 IMPLEMENTING THE 0-BIT, 50MS/SEC PIPELINED ADC 99 5.0 INTRODUCTION This chapter is devoted to describe the implementation of a 0-bit, 50MS/sec pipelined ADC with different stage resolutions

More information

Fall 2004; E6316: Analog Systems in VLSI; 4 bit Flash A/D converter

Fall 2004; E6316: Analog Systems in VLSI; 4 bit Flash A/D converter Fall 2004; E6316: Analog Systems in VLSI; 4 bit Flash A/D converter Nagendra Krishnapura (nkrishna@vitesse.com) due on 21 Dec. 2004 You are required to design a 4bit Flash A/D converter at 500 MS/s. The

More information

CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE

CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE 3.1 INTRODUCTION An ADC is a device which converts a continuous quantity into discrete digital signal. Among its types, pipelined

More information

A 10Gb/s 10mm On-Chip Serial Link in 65nm CMOS Featuring a Half-Rate Time-Based Decision Feedback Equalizer

A 10Gb/s 10mm On-Chip Serial Link in 65nm CMOS Featuring a Half-Rate Time-Based Decision Feedback Equalizer A 10Gb/s 10mm On-Chip Serial Link in 65nm CMOS Featuring a Half-Rate Time-Based Decision Feedback Equalizer Po-Wei Chiu, Somnath Kundu, Qianying Tang, and Chris H. Kim University of Minnesota, Minneapolis,

More information

EE247 Lecture 23. EECS 247 Lecture 23 Pipelined ADCs 2008 H.K. Page 1. Pipeline ADC Block Diagram DAC ADC. V res2. Stage 2 B 2.

EE247 Lecture 23. EECS 247 Lecture 23 Pipelined ADCs 2008 H.K. Page 1. Pipeline ADC Block Diagram DAC ADC. V res2. Stage 2 B 2. EE247 Lecture 23 Pipelined ADCs (continued) Effect gain stage, sub-dac non-idealities on overall ADC performance Digital calibration (continued) Correction for inter-stage gain nonlinearity Implementation

More information

EE247 Lecture 23. Advanced calibration techniques. Compensating inter-stage amplifier non-linearity Calibration via parallel & slow ADC

EE247 Lecture 23. Advanced calibration techniques. Compensating inter-stage amplifier non-linearity Calibration via parallel & slow ADC EE247 Lecture 23 Pipelined ADCs Combining the bits Stage implementation Circuits Noise budgeting Advanced calibration techniques Compensating inter-stage amplifier non-linearity Calibration via parallel

More information

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 4 (April 2014), PP.01-06 Design of Low Power High Speed Fully Dynamic

More information

HIGH-SPEED low-resolution analog-to-digital converters

HIGH-SPEED low-resolution analog-to-digital converters 244 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 64, NO. 3, MARCH 2017 A 0.95-mW 6-b 700-MS/s Single-Channel Loop-Unrolled SAR ADC in 40-nm CMOS Long Chen, Student Member, IEEE, Kareem

More information

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.2, APRIL, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.2.281 ISSN(Online) 2233-4866 A 4b/cycle Flash-assisted SAR ADC with

More information

A 400 MHz 4.5 nw 63.8 dbm Sensitivity Wake-up Receiver Employing an Active Pseudo-Balun Envelope Detector

A 400 MHz 4.5 nw 63.8 dbm Sensitivity Wake-up Receiver Employing an Active Pseudo-Balun Envelope Detector A 400 MHz 4.5 nw 63.8 dbm Sensitivity Wake-up Receiver Employing an Active Pseudo-Balun Envelope Detector Po-Han Peter Wang, Haowei Jiang, Li Gao, Pinar Sen, Young-Han Kim, Gabriel M. Rebeiz, Patrick P.

More information

Summary Last Lecture

Summary Last Lecture EE247 Lecture 23 Converters Techniques to reduce flash complexity Interpolating (continued) Folding Multi-Step s Two-Step flash Pipelined s EECS 247 Lecture 23: Data Converters 26 H.K. Page Summary Last

More information

Future Directions in. December 12, 2008 Boris Murmann Murmann

Future Directions in. December 12, 2008 Boris Murmann Murmann Future Directions in Mixed-Signal IC Design December 12, 2008 Boris Murmann murmann@stanford.edu @t d Murmann Mixed-Signal Group Growth ~2050 Source: European Nanotechnology Roadmap 2 Business as Usual?

More information

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren Joint International Mechanical, Electronic and Information Technology Conference (JIMET 2015) A 14-bit 2.5 GS/s based on Multi-Clock Synchronization Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng,

More information

Analysis & Design of low Power Dynamic Latched Double-Tail Comparator

Analysis & Design of low Power Dynamic Latched Double-Tail Comparator IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 11 May 2016 ISSN (online): 2349-784X Analysis & Design of low Power Dynamic Latched Double-Tail Comparator Manish Kumar

More information

2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS

2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS 2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS November 30 - December 3, 2008 Venetian Macao Resort-Hotel Macao, China IEEE Catalog Number: CFP08APC-USB ISBN: 978-1-4244-2342-2 Library of Congress:

More information

IP Specification. 12-Bit 125 MSPS Duel ADC in SMIC40L IPS_S40L_ADC12X2_125M FEATURES APPLICATIONS GENERAL DESCRIPTION. Single Supply 1.

IP Specification. 12-Bit 125 MSPS Duel ADC in SMIC40L IPS_S40L_ADC12X2_125M FEATURES APPLICATIONS GENERAL DESCRIPTION. Single Supply 1. 12-Bit 125 MSPS Duel ADC in SMIC40L FEATURES Single Supply 1.15V 125 MSPS Conversion Rate AVDD AVSS VDD VSS Current Consumption 45 mw @ 125 MSPS Dynamic Performance @ 125MSPS 65 dbfs SNR -68 dbc THD 70

More information

Design of Analog Integrated Systems (ECE 615) Outline

Design of Analog Integrated Systems (ECE 615) Outline Design of Analog Integrated Systems (ECE 615) Lecture 9 SAR and Cyclic (Algorithmic) Analog-to-Digital Converters Ayman H. Ismail Integrated Circuits Laboratory Ain Shams University Cairo, Egypt ayman.hassan@eng.asu.edu.eg

More information

Design Challenges of Analog-to-Digital Converters in Nanoscale CMOS

Design Challenges of Analog-to-Digital Converters in Nanoscale CMOS IEICE TRANS. ELECTRON., VOL.E90 C, NO.4 APRIL 2007 779 INVITED PAPER Special Section on Low-Power, High-Speed LSIs and Related Technologies Design Challenges of Analog-to-Digital Converters in Nanoscale

More information

620 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 3, MARCH /$ IEEE

620 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 3, MARCH /$ IEEE 620 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 3, MARCH 2010 A 12 bit 50 MS/s CMOS Nyquist A/D Converter With a Fully Differential Class-AB Switched Op-Amp Young-Ju Kim, Hee-Cheol Choi, Gil-Cho

More information

A fully synthesizable injection-locked PLL with feedback current output DAC in 28 nm FDSOI

A fully synthesizable injection-locked PLL with feedback current output DAC in 28 nm FDSOI LETTER IEICE Electronics Express, Vol.1, No.15, 1 11 A fully synthesizable injection-locked PLL with feedback current output DAC in 8 nm FDSOI Dongsheng Yang a), Wei Deng, Aravind Tharayil Narayanan, Rui

More information

SUCCESSIVE approximation register (SAR) analog-todigital

SUCCESSIVE approximation register (SAR) analog-todigital IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 4, APRIL 2010 731 A 10-bit 50-MS/s SAR ADC With a Monotonic Capacitor Switching Procedure Chun-Cheng Liu, Student Member, IEEE, Soon-Jyh Chang, Member,

More information

12-bit 50/100/125 MSPS 1-channel ADC

12-bit 50/100/125 MSPS 1-channel ADC SPECIFICATION 1 FEATURES TSMC CMOS 65 nm High speed pipelined ADC Resolution 12 bit Conversion rate 50/100/125 MHz Different power supplies for digital (1.2 V) and analog (1.2 V) parts Low standby current

More information

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth LETTER IEICE Electronics Express, Vol.11, No.2, 1 9 A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth Mingshuo Wang a), Fan Ye, Wei Li, and Junyan Ren b) State Key Laboratory

More information

Summary Last Lecture

Summary Last Lecture EE247 Lecture 23 Converters Techniques to reduce flash complexity Interpolating (continued) Folding Multi-Step s Two-Step flash Pipelined s EECS 247 Lecture 23: Data Converters 26 H.K. Page 1 Summary Last

More information

SUCCESSIVE approximation register (SAR) analog-todigital

SUCCESSIVE approximation register (SAR) analog-todigital 426 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 62, NO. 5, MAY 2015 A Novel Hybrid Radix-/Radix-2 SAR ADC With Fast Convergence and Low Hardware Complexity Manzur Rahman, Arindam

More information

A single-slope 80MS/s ADC using two-step time-to-digital conversion

A single-slope 80MS/s ADC using two-step time-to-digital conversion A single-slope 80MS/s ADC using two-step time-to-digital conversion The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

A 2.5 V 109 db DR ADC for Audio Application

A 2.5 V 109 db DR ADC for Audio Application 276 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.10, NO.4, DECEMBER, 2010 A 2.5 V 109 db DR ADC for Audio Application Gwangyol Noh and Gil-Cho Ahn Abstract A 2.5 V feed-forward second-order deltasigma

More information

A 500-MS/s, 2.0-mW, 8-Bit Subranging ADC with Time-Domain Quantizer

A 500-MS/s, 2.0-mW, 8-Bit Subranging ADC with Time-Domain Quantizer Circuits and Systems, 2017, 8, 1-13 http://www.scirp.org/journal/cs ISSN Online: 2153-1293 ISSN Print: 2153-1285 A 500-MS/s, 2.0-mW, 8-Bit Subranging ADC with Time-Domain Quantizer Kenichi Ohhata, Kaihei

More information

PAPER A Single Amplifier-Based 12-bit 100 MS/s 1V19mW0.13µm CMOS ADC with Various Power and Area Minimized Circuit Techniques

PAPER A Single Amplifier-Based 12-bit 100 MS/s 1V19mW0.13µm CMOS ADC with Various Power and Area Minimized Circuit Techniques 1282 PAPER A Single Amplifier-Based 12-bit 100 MS/s 1V19mW0.13µm CMOS ADC with Various Power and Area Minimized Circuit Techniques Byeong-Woo KOO, Member, Seung-Jae PARK, Gil-Cho AHN, and Seung-Hoon LEE

More information

A SAR-Assisted Two-Stage Pipeline ADC Chun C. Lee, Member, IEEE, and Michael P. Flynn, Senior Member, IEEE

A SAR-Assisted Two-Stage Pipeline ADC Chun C. Lee, Member, IEEE, and Michael P. Flynn, Senior Member, IEEE IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 46, NO. 4, APRIL 2011 859 A SAR-Assisted Two-Stage Pipeline ADC Chun C. Lee, Member, IEEE, and Michael P. Flynn, Senior Member, IEEE Abstract Successive approximation

More information

A Rail-to-Rail Input 12b 2 MS/s 0.18 µm CMOS Cyclic ADC for Touch Screen Applications

A Rail-to-Rail Input 12b 2 MS/s 0.18 µm CMOS Cyclic ADC for Touch Screen Applications 160 HEE-CHEOL CHOI et al : A RAIL-TO-RAIL INPUT 12B 2 MS/S 0.18 µm CMOS CYCLIC ADC FOR TOUCH SCREEN APPLICATIONS A Rail-to-Rail Input 12b 2 MS/s 0.18 µm CMOS Cyclic ADC for Touch Screen Applications Hee-Cheol

More information

Modeling and Implementation of A 6-Bit, 50MHz Pipelined ADC in CMOS

Modeling and Implementation of A 6-Bit, 50MHz Pipelined ADC in CMOS Master s Thesis Modeling and Implementation of A 6-Bit, 50MHz Pipelined ADC in CMOS Qazi Omar Farooq Department of Electrical and Information Technology, Faculty of Engineering, LTH, Lund University, 2016.

More information

1.5 bit-per-stage 8-bit Pipelined CMOS A/D Converter for Neuromophic Vision Processor

1.5 bit-per-stage 8-bit Pipelined CMOS A/D Converter for Neuromophic Vision Processor 1.5 bit-per-stage 8-bit Pipelined CMOS A/D Converter for Neuromophic Vision Processor Yilei Li, Li Du 09212020027@fudan.edu.cn Abstract- Neuromorphic vision processor is an electronic implementation of

More information

CMOS Analog to Digital Converters : State-of-the-Art and Perspectives in Digital Communications ADC

CMOS Analog to Digital Converters : State-of-the-Art and Perspectives in Digital Communications ADC CMOS Analog to Digital Converters : State-of-the-Art and Perspectives in Digital Communications ADC Hussein Fakhoury and Hervé Petit C²S Research Group Presentation Outline Introduction Basic concepts

More information

An 8-Bit 600-MSps Flash ADC Using Interpolating and Background Self-Calibrating Techniques

An 8-Bit 600-MSps Flash ADC Using Interpolating and Background Self-Calibrating Techniques 402 PAPER Special Section on Analog Circuit Techniques and Related Topics An 8-Bit 600-MSps Flash ADC Using Interpolating and Background Self-Calibrating Techniques Daehwa PAIK a), Yusuke ASADA, Masaya

More information

Wideband Sampling by Decimation in Frequency

Wideband Sampling by Decimation in Frequency Wideband Sampling by Decimation in Frequency Martin Snelgrove http://www.kapik.com 192 Spadina Ave. Suite 218 Toronto, Ontario, M5T2C2 Canada Copyright Kapik Integration 2011 WSG: New Architectures for

More information

ISSN:

ISSN: 1391 DESIGN OF 9 BIT SAR ADC USING HIGH SPEED AND HIGH RESOLUTION OPEN LOOP CMOS COMPARATOR IN 180NM TECHNOLOGY WITH R-2R DAC TOPOLOGY AKHIL A 1, SUNIL JACOB 2 1 M.Tech Student, 2 Associate Professor,

More information

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract , pp.17-22 http://dx.doi.org/10.14257/ijunesst.2016.9.8.02 A 12-bit 100kS/s SAR ADC for Biomedical Applications Sung-Chan Rho 1 and Shin-Il Lim 2 1 Department of Electronics and Computer Engineering, Seokyeong

More information

A 14b 40Msample/s Pipelined ADC with DFCA

A 14b 40Msample/s Pipelined ADC with DFCA A 14b 40Msample/s Pipelined ADC with DFCA Paul Yu, Shereef Shehata, Ashutosh Joharapurkar, Pankaj Chugh, Alex Bugeja, Xiaohong Du, Sung-Ung Kwak, Yiannis Papantonopoulos, Turker Kuyel Texas Instruments,

More information

Qpix v.1: A High Speed 400-pixels Readout LSI with 10-bit 10MSps Pixel ADCs

Qpix v.1: A High Speed 400-pixels Readout LSI with 10-bit 10MSps Pixel ADCs Qpix v.1: A High Speed 400-pixels Readout LSI with 10-bit 10MSps Pixel ADCs Fei Li, Vu Minh Khoa, Masaya Miyahara and Akira Tokyo Institute of Technology, Japan on behalf of the QPIX Collaboration PIXEL2010

More information

A 1.25GS/S 8-BIT TIME-INTERLEAVED C-2C SAR ADC FOR WIRELINE RECEIVER APPLICATIONS. Qiwei Wang

A 1.25GS/S 8-BIT TIME-INTERLEAVED C-2C SAR ADC FOR WIRELINE RECEIVER APPLICATIONS. Qiwei Wang A 1.25GS/S 8-BIT TIME-INTERLEAVED -2 SAR AD FOR WIRELINE REEIVER APPLIATIONS by Qiwei Wang A thesis submitted in conformity with the requirements for the degree of Master of Applied Science Graduate Department

More information

Design of Dynamic Latched Comparator with Reduced Kickback Noise

Design of Dynamic Latched Comparator with Reduced Kickback Noise Volume 118 No. 17 2018, 289-298 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu Design of Dynamic Latched Comparator with Reduced Kickback Noise N

More information

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California A 4 GSample/s 8-bit ADC in 0.35 µm CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California 1 Outline Background Chip Architecture

More information

An Optimized DAC Timing Strategy in SAR ADC with Considering the Overshoot Effect

An Optimized DAC Timing Strategy in SAR ADC with Considering the Overshoot Effect Journal of Electrical and Electronic Engineering 2015; 3(2): 19-24 Published online March 31, 2015 (http://www.sciencepublishinggroup.com/j/jeee) doi: 10.11648/j.jeee.20150302.12 ISSN: 2329-1613 (Print);

More information

/$ IEEE

/$ IEEE 894 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 56, NO. 5, MAY 2009 A 1.2-V 12-b 120-MS/s SHA-Free Dual-Channel Nyquist ADC Based on Midcode Calibration Hee-Cheol Choi, Young-Ju Kim,

More information

A 12b 100 MS/s Three-Step Hybrid Pipeline ADC Based on Time-Interleaved SAR ADCs

A 12b 100 MS/s Three-Step Hybrid Pipeline ADC Based on Time-Interleaved SAR ADCs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.2, APRIL, 2014 http://dx.doi.org/10.5573/jsts.2014.14.2.189 A 12b 100 MS/s Three-Step Hybrid ADC Based on Time-Interleaved SAR ADCs Jun-Sang

More information

Design of Low-Offset Voltage Dynamic Latched Comparator

Design of Low-Offset Voltage Dynamic Latched Comparator Apr. 212, Vol. 2(4) pp: 585-59 Design of Low-Offset Voltage Dynamic Latched Comparator Mayank Nema, Rachna Thakur Assistant Professor, Department of ECE Sagar Institute of Science, Technology & Research,

More information

arxiv: v1 [physics.ins-det] 31 Jul 2013

arxiv: v1 [physics.ins-det] 31 Jul 2013 Preprint typeset in JINST style - HYPER VERSION arxiv:138.28v1 [physics.ins-det] 31 Jul 213 A Radiation-Hard Dual Channel 4-bit Pipeline for a 12-bit 4 MS/s ADC Prototype with extended Dynamic Range for

More information

High-Speed High-Resolution ADC with BISC

High-Speed High-Resolution ADC with BISC High-Speed High-Resolution ADC with BISC Bernardo Henriques, B. Vaz, N. Paulino *, J. Goes *, M. Rodrigues, P. Faria, R. Monteiro, N. Penetra, T. Domingues S3 Group, Portugal * Also with Universidade Nova

More information

High Data Rate 60 GHz CMOS Transceiver Design

High Data Rate 60 GHz CMOS Transceiver Design High Data Rate 6 GHz CMOS Transceiver Design Akira Matsuzawa Department of Physical Electronics Graduate School of Science and Electronics Tokyo Institute of Technology, O-okayama, Meguro-ku, Tokyo, 152-8552,

More information

A Two-channel 10b 160 MS/s 28 nm CMOS Asynchronous Pipelined-SAR ADC with Low Channel Mismatch

A Two-channel 10b 160 MS/s 28 nm CMOS Asynchronous Pipelined-SAR ADC with Low Channel Mismatch JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.5, OCTOBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.5.636 ISSN(Online) 2233-4866 A Two-channel 10b 160 MS/s 28 nm CMOS

More information

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology Research Paper American Journal of Engineering Research (AJER) e-issn : 2320-0847 p-issn : 2320-0936 Volume-3, Issue-9, pp-15-19 www.ajer.org Open Access Design of a Low Voltage low Power Double tail comparator

More information

Ultra Low Power High Speed Comparator for Analog to Digital Converters

Ultra Low Power High Speed Comparator for Analog to Digital Converters Ultra Low Power High Speed Comparator for Analog to Digital Converters Suman Biswas Department Of Electronics Kiit University Bhubaneswar,Odisha Dr. J. K DAS Rajendra Prasad Abstract --Dynamic comparators

More information

A Low Power 900MHz Superheterodyne Compressive Sensing Receiver for Sparse Frequency Signal Detection

A Low Power 900MHz Superheterodyne Compressive Sensing Receiver for Sparse Frequency Signal Detection A Low Power 900MHz Superheterodyne Compressive Sensing Receiver for Sparse Frequency Signal Detection Hamid Nejati and Mahmood Barangi 4/14/2010 Outline Introduction System level block diagram Compressive

More information

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY Neha Bakawale Departmentof Electronics & Instrumentation Engineering, Shri G. S. Institute of

More information

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3 ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3 25.3 A 96dB SFDR 50MS/s Digitally Enhanced CMOS Pipeline A/D Converter K. Nair, R. Harjani University of Minnesota, Minneapolis, MN Analog-to-digital

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

A 60GHz Sub-Sampling PLL Using A Dual-Step-Mixing ILFD

A 60GHz Sub-Sampling PLL Using A Dual-Step-Mixing ILFD A 60GHz Sub-Sampling PLL Using A Dual-Step-Mixing ILFD Teerachot Siriburanon, Tomohiro Ueno, Kento Kimura, Satoshi Kondo, Wei Deng, Kenichi Okada, and Akira Matsuzawa Tokyo Institute of Technology, Japan

More information

A 19-bit column-parallel folding-integration/cyclic cascaded ADC with a pre-charging technique for CMOS image sensors

A 19-bit column-parallel folding-integration/cyclic cascaded ADC with a pre-charging technique for CMOS image sensors LETTER IEICE Electronics Express, Vol.14, No.2, 1 12 A 19-bit column-parallel folding-integration/cyclic cascaded ADC with a pre-charging technique for CMOS image sensors Tongxi Wang a), Min-Woong Seo

More information

Digital Calibration for Current-Steering DAC Linearity Enhancement

Digital Calibration for Current-Steering DAC Linearity Enhancement Digital Calibration for Current-Steering DAC Linearity Enhancement Faculty of Science and Technology, Division of Electronics & Informatics Gunma University Shaiful Nizam Mohyar, Haruo Kobayashi Gunma

More information

An HCI-Healing 60GHz CMOS Transceiver

An HCI-Healing 60GHz CMOS Transceiver An HCI-Healing 60GHz CMOS Transceiver Rui Wu, Seitaro Kawai, Yuuki Seo, Kento Kimura, Shinji Sato, Satoshi Kondo, Tomohiro Ueno, Nurul Fajri, Shoutarou Maki, Noriaki Nagashima, Yasuaki Takeuchi, Tatsuya

More information

DIGITAL wireless communication applications such as

DIGITAL wireless communication applications such as IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 57, NO. 8, AUGUST 2010 1829 An Asynchronous Binary-Search ADC Architecture With a Reduced Comparator Count Ying-Zu Lin, Student Member,

More information

Design and Implementation of a Low Power Successive Approximation ADC. Xin HUANG, Xiao-ning XIN, Jian REN* and Xin-lei CHEN

Design and Implementation of a Low Power Successive Approximation ADC. Xin HUANG, Xiao-ning XIN, Jian REN* and Xin-lei CHEN 2018 International Conference on Mechanical, Electronic and Information Technology (ICMEIT 2018) ISBN: 978-1-60595-548-3 Design and Implementation of a Low Power Successive Approximation ADC Xin HUANG,

More information

BER-optimal ADC for Serial Links

BER-optimal ADC for Serial Links BER-optimal ADC for Serial Links Speaker Name: Yingyan Lin Co-authors: Min-Sun Keel, Adam Faust, Aolin Xu, Naresh R. Shanbhag, Elyse Rosenbaum, and Andrew Singer Advisor s name: Naresh R. Shanbhag Affiliation:

More information

Pipelined Analog-to-Digital Converters

Pipelined Analog-to-Digital Converters Department of Electrical and Computer Engineering Pipelined Analog-to-Digital Converters Vishal Saxena Vishal Saxena -1- Multi-Step A/D Conversion Basics Vishal Saxena -2-2 Motivation for Multi-Step Converters

More information

PARAMETRIC ANALYSIS OF DFAL BASED DYNAMIC COMPARATOR

PARAMETRIC ANALYSIS OF DFAL BASED DYNAMIC COMPARATOR HEENA PARVEEN AND VISHAL MOYAL: PARAMETRIC ANALYSIS OF DFAL BASED DYNAMIC COMPARATOR DOI: 1.21917/ijme.217.62 PARAMETRIC ANALYSIS OF DFAL BASED DYNAMIC COMPARATOR Heena Parveen and Vishal Moyal Department

More information