A Two-channel 10b 160 MS/s 28 nm CMOS Asynchronous Pipelined-SAR ADC with Low Channel Mismatch

Size: px
Start display at page:

Download "A Two-channel 10b 160 MS/s 28 nm CMOS Asynchronous Pipelined-SAR ADC with Low Channel Mismatch"

Transcription

1 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.5, OCTOBER, 2017 ISSN(Print) ISSN(Online) A Two-channel 10b 160 MS/s 28 nm CMOS Asynchronous Pipelined-SAR ADC with Low Channel Mismatch Tai-ji An, Young-Sea Cho, Jun-Sang Park, Gil-Cho Ahn, and Seung-Hoon Lee Abstract This work proposes a two-channel T-I 10b 160 MS/s asynchronous pipelined-sar ADC minimizing offset and gain mismatches between channels without any calibration. Each channel of the proposed ADC is based on a two-stage pipelined-sar topology, where the first and second stage determines 4b and 7b, respectively, for high conversion rate and low power. An asynchronous SAR algorithm removes on-chip high-speed clock generators for SAR operation, while a simple detection circuit solves a meta-stability problem of the comparator commonly observed in asynchronous SAR ADCs. Analog circuits such as comparators and residue amplifiers are shared to capacity between two channels to reduce various channel mismatches limiting the linearity of the T-I ADC. Three separate reference voltage drivers for two SAR ADCs and a residue amplifier prevent lots of undesirable disturbance among reference voltages due to each different switching operation. The prototype ADC in a 28 nm CMOS process demonstrates a measured differential and integral nonlinearity within 0.71 LSB and 0.70 LSB at 10b, respectively, with a maximum signal-to-noise-anddistortion ratio and a spurious-free dynamic range of db and db at 160 MS/s, respectively. The proposed ADC occupies an active die area of 0.23 mm 2 and consumes 3.5 mw at a 1.0 V supply voltage. Manuscript received Dec. 22, 2016; accepted Sep. 8, 2017 Department of Electronic Engineering, Sogang University, Seoul, Korea gcahn@sogang.ac.kr, hoonlee@sogang.ac.kr Index Terms Asynchronous successive approximation register (SAR), time-interleaved (T-I), comparator sharing, offset mismatch, separate reference I. INTRODUCTION Recently, advanced nanometer CMOS technologies allow very low-power system design with a small chip area. In addition, the system-on-a-chip (SoC) enables the integration of various digital circuits and analog circuits together into a single chip. Most of the SoC applications essentially require some kind of interface circuits such as an analog-to-digital converter (ADC) that can convert analog signals into digital signals accurately and reliably. In particular, the ADC for some high-resolution mobile video systems requires a minimum resolution of 10b and a sampling rate exceeding 150 MS/s with low power and small die area [1-6]. In the conventional high-resolution mobile video applications, the pipelined ADC has been commonly employed to satisfy the requirements of high image quality and wide bandwidth. Meanwhile, the successive-approximation register (SAR)-based ADC primarily using digital logic circuits is well suited in more advanced nanometer CMOS processes which have remarkably increased the operating speed of digital circuits with very low power and small chip area at a low-supply voltage [7, 8]. However, there is a disadvantage as well in that the on-chip operating clock speed of the SAR ADCs proportionally increases with the required resolution. The time-interleaved (T-I) and pipelined topologies can overcome this speed

2 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.5, OCTOBER, limitation in the high-resolution and high-speed SAR ADCs, while the T-I SAR ADCs suffer from performance degradation due to offset, gain, and sampling-time mismatches between channels. Some calibration schemes may be essential to minimize those channel mismatches, but the requirements for extra timing and complicated circuits make the desired system integration difficult [9-11]. One of the main reasons of performance degradation in the typical T-I SAR ADCs is an offset mismatch between channels resulting from comparator imperfection, while the offset mismatch in the typical T-I pipelined ADC is caused by residue amplifiers. The offset mismatch can be reduced with a calibration technique using tunable capacitors at the comparator output node [12], but it still needs additional calibration time and digital circuits. Even an inventive background digital calibration scheme has some disadvantage of the increased die area and power consumption due to complex calibration circuits [13]. This work proposes a 10b 160 MS/s pipelined-sar ADC sharing various analog and digital circuits to reduce the offset mismatch between channels without any calibration as well as extra power consumption and die area. The dynamic performance of the proposed ADC is enhanced by a low-noise comparator in the SAR ADCs. The proposed ADC employs a two-channel T-I topology based on a two-step pipelined architecture of 4b and 7b in the first and second stages, respectively. The asynchronous SAR algorithm eliminates the requirement of internal high-speed clock generators. Furthermore, the first- and second-stage SAR ADCs use a common-mode voltage (V CM ) based switching method [14] to remove the most significant bit (MSB) capacitor which occupies the largest area in the digital-to-analog converter (DAC) capacitor array. The second-stage 7b SAR ADCs adopt a hybrid DAC combining C and R-2R arrays to decide the last two least significant bits (LSBs), while minimizing the number of unit capacitors in the DAC for high C matching. With those circuit techniques, power consumption and die area are minimized and the required number of unit capacitors is reduced to 1/8 compared to the DAC for the conventional 7b SAR ADC. This paper is organized as follows. The proposed ADC architecture and operation are introduced in Section II. In Section III, design issues for reducing the circuit noise Fig. 1. Proposed two-channel T-I 10b 160 MS/s pipelined-sar ADC. and the offset mismatch between channels are discussed, while Section IV describes the detailed circuit techniques for the proposed ADC implementation. The fabricated and measured results of the prototype ADC are summarized in Section V and the conclusion is given in Section VI. II. PROPOSED TWO-CHANNEL T-I PIPELINED- SAR ADC 1. Architecture of the Proposed ADC The proposed two-channel T-I 10b 160 MS/s pipelined-sar ADC shown in Fig. 1 consists of two 4b SAR ADCs, two 7b SAR ADCs, a shared residue amplifier, a digital correction logic (DCL) block, current and voltage references (IVREFs), and a clock timing circuit. The proposed ADC adopts an asynchronous pipelined- SAR architecture, which combines asynchronous SAR ADCs with a pipelined topology. Two channels share a single comparator and a single residue amplifier to reduce offset and gain mismatches between channels to the minimum. The MSB capacitors in the first- and second-stage SAR ADCs are effectively removed by using the V CM - based switching method. The second-stage SAR ADCs employ a C and R-2R hybrid DAC to reduce additionally the required number of unit capacitors while deciding the last two LSBs in the DAC. Moreover, the proposed ADC is based on a range-scaling technique [15], which processes a half of the 1.2 V PP full input signal (=0.6 V PP ) in the second-stage SAR ADCs, for increasing a feedback factor of the residue amplifier as much. The

3 638 TAI-JI AN et al : A TWO-CHANNEL 10B 160 MS/s 28 nm CMOS ASYNCHRONOUS PIPELINED-SAR ADC WITH LOW Fig. 2. Data conversion timing diagram of the proposed ADC. Fig. 3. Shared Comparator for the first-stage SAR ADCs. IVREFs are implemented on chip for various SoC applications. Three separate reference voltage drivers for 4b and 7b SAR ADCs and a residue amplifier prevent undesirable disturbance among reference voltages due to each different switching operation. Considering a gain mismatch between channels, those reference voltage drivers are carefully implemented. Meanwhile, a timinginsensitive asynchronous SAR algorithm removes highspeed clock generators for SAR operation, and a typical meta-stability problem of comparator is removed with a very simple detection logic circuit. 2. Operation of the Proposed ADC The timing diagram of the proposed T-I 10b 160 MS/s pipelined-sar ADC including sampling, SAR operation, and residue amplifying time intervals is shown in Fig. 2. The entire ADC operates at a sampling rate of 160 MS/s, while each channel operates only at 80 MS/s. The operation of each functional circuit block is briefly discussed below. Analog input signals sampled alternately in the firststage two 4b SAR ADCs are converted into digital codes of four MSBs by the asynchronous SAR operation during a half period of the 160 MHz clock, ns. A residue voltage after the first-stage coarse decision is amplified only by four times through the shared residue amplifier combined with a range-scaling while the second-stage 7b SAR ADCs sample alternately the amplified residue voltage during a half period of the 80 MHz clock. During the next half period of the 80 MHz clock, the 7b SAR ADCs convert the sampled signals to digital codes of seven LSBs by asynchronous SAR operations. Finally, a 10b digital code corresponding to each sampled analog input signal is obtained at a sampling rate of 160 MS/s. On the other hand, some reference disturbance may arise due to the SAR operations of the first and second stages during the residue amplifying period, as shown in Fig. 2. The ADC proposed in this work reduces this reference disturbance by employing three separate reference voltage drivers. III. DESIGN ISSUES TO REDUCE CHANNEL MISMATCH AND CIRCUIT NOISE 1. Comparator Sharing to Minimize Channel-offset Mismatch In the conventional T-I SAR ADCs, an offset mismatch between comparators generates non-linearity errors limiting the overall ADC performance. Many offset calibration schemes have been invented to reduce the comparator offset mismatch, but they need additional timing and digital circuits. This work proposes a comparator sharing technique between two channels to minimize the offset mismatch without any calibration. The shared comparator for the first-stage SAR ADCs and its simulated offset distribution are illustrated in Fig. 3. The shared comparator in the first pipelined stage, as shown in Fig. 3, consists of only a two-stage latch without a preamplifier consuming a static DC current. If a comparator with a single input pair is shared alternately with switches between two channels, the charge injection from the analog series switches for channel selection and the memory effect can distort severely an amplified residue voltage transferred to the second pipelined stage. Therefore, the comparator of the first stage needs to have two differential input pairs without series switches for channel selection, preventing the distortion of a residue voltage. Such circuit configuration automatically removes the memory effect as commonly observed in the comparator with multiple input pairs.

4 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.5, OCTOBER, The comparator using two differential input pairs in Fig. 3 can cause an offset mismatch between two channels, which also degrades the performance of the overall ADC. However, as long as the offset of the firststage SAR ADCs is within a half LSB of the 4b coarse resolution, code errors can be corrected by the DCL. According to the Monte-Carlo simulation resulted from 1000 samples for comparator offset with this process, as shown on the right side of Fig. 3, the standard deviation of the comparator offset is mv and the whole offsets are reliably distributed within 1/2 LSB of 4b (=37.5 mv). In this work, the offset mismatch caused by the two differential input pairs in the first stage is corrected by the DCL and does not affect the linearity of the overall 10b ADC. Fig. 4. Equivalent circuit of the proposed ADC with major noise sources. 2. Low Noise Comparator Design In the SAR ADCs, a comparator can produce code errors due to the internal circuit noise while determining the logic level of an input signal. The internal noise power of the comparator is represented equivalently as the input-referred noise power and the code errors of the comparator increase in proportion to the input-referred noise power [12]. In the proposed ADC, the code errors of the first-stage 4b SAR ADC caused by the offset and circuit noise are corrected by the DCL while the code errors of the second-stage 7b SAR ADC are not. As a result, the code errors of the second stage directly affect the performance of the overall pipelined-sar ADC. The estimated signal-to-noise ratio (SNR) including the second-stage comparator noise power is expressed in Eq. (1) below. PS SNR = 10log( ) P N PS = 10log( ) PCOMP PQ + PC + PA In Eq. (1), P S and P N are the input signal and total ADC noise power, while P Q, P C, P A, and P COMP are the quantization noise power, kt/c noise power, and inputreferred noise power from the residue amplifier and the second-stage comparator, respectively. Those equivalent noise powers of the ADC are illustrated in Fig. 4. In the (1) Fig. 5. Low-noise comparator for the second-stage SAR ADCs. Table 1. Performance comparison with the previously reported comparators [16] [17] [18] proposed Operation Speed (GHz) Kickback Noise (mv) Input Referred Noise (μv 2 ) first-stage SAR ADC, a 320 ff sampling capacitor is employed to meet a 10b requirement of kt/c noise at a 1.2 V PP input signal range, where P C is calculated to be μv 2. The kt/c noise of the second-stage SAR ADC is negligible in the overall pipelined-sar ADC since its input-referred value is attenuated by a square of the residue amplifier gain. Based on Eq. (1) and Fig. 4, P COMP needs to be designed below 1 μv 2 to obtain the required dynamic performance of 10b ADC, where the expected SNR becomes about db. The comparator for the second-stage SAR ADCs is shown in Fig. 5, where the size of transistors is optimized considering the required operation speed and input-referred noise. In this design, the noise power of the comparator in the second stage is calculated as approximately 0.56 μv 2. The performance of the proposed comparator is compared with the previously reported comparators in Table 1 [16-18]. The comparator implemented in this ADC shows a relatively low or similar kick-back noise

5 640 TAI-JI AN et al : A TWO-CHANNEL 10B 160 MS/s 28 nm CMOS ASYNCHRONOUS PIPELINED-SAR ADC WITH LOW Fig. 6. Shared residue amplifier with two separate differential input pairs. amplitude and input-referred noise power compared with the previously reported comparators. 3. Shared Amplifier for Low Offset and Gain Mismatches In the conventional T-I pipelined ADCs, offset and gain mismatches are major factors degrading the overall ADC performance, mostly caused by the amplifiers in the input sample-and-hold amplifier (SHA) circuit and the first-stage multiplying DAC (MDAC) for each channel. In this work, the offset and gain matching accuracies between the residue amplifiers for two channels need to be better than a 10b resolution. However, it is not easy to design the amplifiers with a matching accuracy of at least 10b between channels without any form of calibration technique. Furthermore, the related power efficiency may be poor if each amplifier is used separately in each channel. In the proposed ADC, a single residue amplifier, as shown in Fig. 6, is shared to reduce not only the offset, gain, and bandwidth mismatches between channels but also the die area and power consumption. The shared residue amplifier has two differential input pairs which remove the memory effect of the typical amplifier-sharing techniques by guaranteeing a long enough reset time and by removing series analog switches for the unused channel. Two differential input MOSFET pairs are turned on and off alternately by using two small-sized switches, M5 and M6, with two slightly overlapped clock phases [19], Q1MB and Q2MB, to prevent the glitch energy and settling delay occurring when two input pairs are simultaneously turned off. Usually, a high DC gain and a sufficient signal swing range tend to be limited by the Fig. 7. Hybrid DAC with C and R-2R arrays for the secondstage SAR ADC during input sampling. short channel effect and the low-supply voltage in the recent nanometer CMOS processes. In the first-stage amplifier of Fig. 6, a gain-boosting topology is implemented to obtain a high DC gain required for a 10b plus resolution, while the second-stage amplifier using only 5 transistors employs a differential common-source topology to achieve a high enough signal swing range. The designed residue amplifier has a loop gain of 64.6 db and a bandwidth of MHz to meet the required settling time. The power consumption of the residue amplifier is 2.1 mw. IV. CIRCUIT IMPLEMENTATION 1. SAR ADCs using the Minimal Number of Capacitors The proposed 10b ADC employs a two-step pipelined architecture to determine 4b and 7b in each of the firstand second-stage SAR ADCs. The first- and secondstage SAR ADCs employ a V CM -based switching method, in common, which directly compares a sampled input signal with the V CM. It eliminates the largest MSB capacitor in the capacitor array of each DAC for the SAR ADCs, reducing both power consumption and die area. Each of the second-stage 7b SAR ADC needs much more unit capacitors, die area, and power consumption in comparison to the first-stage 4b SAR ADC. A two-step split-capacitor array with a small attenuation capacitor, CA, can be used to reduce the number of unit capacitors in the second-stage 7b ADC. However, a capacitor mismatch between the upper and lower arrays with the attenuation capacitor may deteriorate the performance of the SAR ADC since the CA is not the integer multiple of

6 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.5, OCTOBER, unit capacitor. The proposed DAC for the second-stage SAR ADCs, as shown in Fig. 7, employs a hybrid 7b DAC combining C and R-2R arrays to decide the last 2 LSBs to minimize die area and power consumption simultaneously by reducing the number of unit capacitors considerably. Since the V CM -based switching method directly decides the MSB by comparing a sampled input signal with the V CM, as shown in Fig. 7, the 2 6 C U for the MSB decision in the capacitor array is removed. During the SAR operation, the bottom plates of the capacitors are switched from V CM to either V REF+ or V REF- depending on each bit decision and there is no common-mode signal variation in the comparator input. The V CM -based switching does not lead to performance degradation caused by a V CM fluctuation at the comparator input pair, while reducing the switching power dissipated in the DAC by 90% in comparison to the conventional switching method [14]. On the other hand, the previous work generating six sub-reference voltages from a single resistor string for the lower LSBs consumes some static power unnecessarily [20]. This work proposes a hybrid 7b DAC using C and R- 2R arrays in the second-stage SAR ADCs to reduce static power consumption. The proposed C and R-2R based DAC operates as shown in Fig. 8. An analog input is sampled into a C U during the sampling period, Phase 1, while three inputs of the DAC are floating. The sampled input is compared to output voltages of the C and R-2R based DAC during the SAR operation, Phase 2, to decide five MSBs where three inputs of the DAC are connected to the V CM with a zero DC current. During the last two LSB decision, Phase 3, three inputs of the DAC are connected to V CM or V REF+ or V REF- depending each bit decision while a code-dependent small DC current flows. After all of the seven-bit decision, Phase 4, three inputs of the DAC are again connected to the V CM to remove a DC current of the C and R-2R based DAC. As a result, the proposed hybrid DAC minimizes undesired power consumption since it consumes a small DC current only during the last two LSB decision. With the proposed C and R-2R arrangement, the next two largest capacitors, 2 5 C U and 2 4 C U, in the hybrid DAC for the second-stage SAR ADC are additionally removed, as shown in Fig. 7. Employing both of the V CM -based switching scheme and the hybrid DAC, the proposed 7b SAR ADC needs only Fig. 8. Operation of the proposed hybrid DAC employing C and R-2R arrays. Fig. 9. Timing based on (a) synchronous, (b) asynchronous SAR algorithm. 16 unit capacitors, in contrast with the conventional full 7b SAR ADC requiring 128 unit capacitors. Meanwhile, the second-stage SAR ADCs need either extra reference voltages or capacitors to process a half of the 1.2 V PP full input signal for range scaling. The extra reference voltages need the corresponding extra voltage drivers, increasing die area and power. In the proposed hybrid DAC for each of the second-stage SAR ADCs, only 2 4 C U capacitors are added for the range-scaled signal processing without extra reference voltages, as shown in Fig. 7 [15]. Furthermore, the proposed twochannel DACs in each stage are laid out adjacently and symmetrically to minimize gain and sampling-time mismatches without any calibration scheme. 2. SAR ADCs based on Asynchronous Algorithm The conventional synchronous SAR ADC requires N times of an equal conversion cycle from the MSB to LSB decision, as shown in Fig. 9(a). A clock period for the synchronous SAR ADC is determined by dividing the overall conversion time into an equal time segment based on the longest conversion cycle among the comparator

7 642 TAI-JI AN et al : A TWO-CHANNEL 10B 160 MS/s 28 nm CMOS ASYNCHRONOUS PIPELINED-SAR ADC WITH LOW operation, SAR digital logic delay, and DAC settling. Since the internal clock of the synchronous SAR ADC is based on the longest conversion cycle, the idle periods between conversion time steps can appear, limiting highspeed SAR operation. On the other hand, in the asynchronous SAR algorithm, as shown in Fig. 9(b), the next conversion cycle begins at the same time as the completion of current conversion cycle, which effectively eliminates the idle periods between conversion steps and allows high-speed SAR operation. Assuming the synchronous internal clock should be used for the proposed ADC, a 1.6 GHz clock for the first-stage 4b SAR ADCs and a 1.4 GHz clock for the second-stage 7b SAR ADCs are needed. At this level of high-speed clocks, a serious synchronization problem may affect the signal accuracy and integrity of sampling, amplifying, and SAR operation with the considerably increased power consumption and die area. The proposed ADC employs an asynchronous SAR algorithm to generate the required conversion clock without a high-speed synchronous internal clock circuit. Fig. 10. Simple meta-stability detection logic for the proposed comparator. 3. Simple Meta-stability Detection Logic In the typical asynchronous SAR ADC, the metastability caused by a very small signal in the comparator input deteriorates the overall ADC performance since the comparator is unable to decide the output logic level precisely and rapidly. Some previous works employ a ramp signal generator to estimate the comparison time and to generate a flag signal when the meta-stability occurs [21, 22]. However, this scheme requires an extra estimation time and the related analog circuits. This work proposes a simple meta-stability detection logic based on a variable delay circuit and a three-input NAND gate, as shown in Fig. 10. The variable delay circuit itself is implemented with two current-driven inverters with a control signal of CNTL, while the delay time is adjusted by off-chip control pins to confirm a robust operation. The simulated waveforms of the proposed metastability detection logic are illustrated in Fig. 11. When the READY signal indicating the completion of comparator decision is not generated between the comparison signal of CKL and its delayed clock of CKL_D, the comparator output is set to a high logic level Fig. 11. Simulated meta-stability detection logic. by the META signal. 4. On-chip Separate Reference-voltage Drivers to Keep High-speed Switching Noise from the SAR ADCs In the conventional pipelined-sar ADCs, any form of reference disturbance is hardly avoidable due to the highspeed switching noise from the SAR logic block during the data conversion and amplifying processes. In this ADC, the reference voltage for residue amplification requires a high accuracy of at least 10b, while that for SAR conversion in the first-stage ADC needs only a 4b accuracy. When a single reference voltage is distributed over the overall ADC, the high-speed transient switching noise coupled from the SAR block seriously affects the accuracy of reference voltages, as shown in Fig. 12(a). As a result, the proposed ADC separates the reference voltage drivers for SAR operation and residue amplification, considerably reducing the reference disturbance without any calibration, as shown in Fig. 12(b).

8 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.5, OCTOBER, (a) (b) Fig. 12. On-chip references using (a) a single driver, (b) separate drivers. Fig. 13. Layout and die photo of the prototype 10b 160 MS/s 28 nm CMOS ADC. The power dissipated in the on-chip voltage reference blocks is reduced fairly by separating only the driver circuits for the SAR and residue amplifying operation, respectively, while a gain mismatch between references is minimized by sharing the same reference generator between channels. The proposed ADC implements three reference voltages, which are composed of one reference voltage with a 10b accuracy for a shared residue amplifier and two reference voltages with 4b and 7b accuracies for two SAR sub-adcs, respectively. V. PROTOTYPE ADC MEASUREMENTS The prototype 10b 160 MS/s asynchronous pipelinedsar ADC based on a two-channel T-I topology is implemented in a 28 nm CMOS process and the prototype ADC occupies an active die area of 0.23 mm2. The layout and die photo of the prototype ADC are shown in Fig. 13, where the first- and second-stage SAR ADCs are located symmetrically around a shared 10baccurate residue amplifier in the center. The on-chip MOS decoupling capacitance of 890 pf integrated in the clear space considerably reduces signal interference between various functional circuit blocks, electromagnetic effect, power noise, and transient glitch energy. An evaluation board to measure the performance of the prototype ADC is shown in Fig. 14. Separate power supplies for analog and digital circuits are used for minimizing the signal interference caused by the digital supply noise. The prototype ADC dissipates 5.6 mw with the on-chip references and 3.5 mw without the references with a sampling rate of 160 MS/s at a 1.0 V supply voltage. The measured maximum differential nonlinearity (DNL) and integral non-linearity (INL) are Fig. 14. Evaluation board for the prototype ADC. Fig. 15. Measured DNL and INL of the prototype ADC. within 0.71 LSB and 0.70 LSB, respectively, as shown in Fig. 15. The measured FFT spectrums at 25 MS/s and 160 MS/s with a 4 MHz sinusoidal input are shown in Fig. 16, where there is no harmonic tone at fs/2 of 25 MS/s and 160 MS/s. It means that offset and gain mismatches between two channels are effectively eliminated by various analog circuit sharing techniques. The signal-to-noise-and-distortion ratio (SNDR) and spurious-free dynamic range (SFDR), as illustrated in Fig.

9 644 TAI-JI AN et al : A TWO-CHANNEL 10B 160 MS/s 28 nm CMOS ASYNCHRONOUS PIPELINED-SAR ADC WITH LOW Table 2. Performance summary of the prototype ADC (a) Process Samsung 28 nm CMOS Supply 1.0 V Resolution 10 bits Input Range 1.2 V PP (differential) DNL LSB DNL LSB INL LSB INL LSB Speed 160 MS/s SNDR db (@fin=4 MHz) db (@fin=80 MHz) SFDR db (@fin=4 MHz) db (@fin=80 MHz) ADC Core Power without IVREFs with IVREFs 3.5 mw 5.6 mw FoM (fj/conv.-step) Active Die Area 0.23 mm 2 (b) Fig. 16. Measured FFT spectrum of the prototype ADC at (a) fs=25 MS/s, (b) fs=160 MS/s (1/7 fs down sampled in (b)). (a) (b) Fig. 17. Measured SNDR and SFDR of the ADC with (a) fs, (b) fin. 17(a), are measured with the sampling rates ranging from 40 MS/s to 160 MS/s at a differential input frequency of 4 MHz. The SNDR and SFDR are maintained above db and db up to 160 MS/s. The SNDR and SFDR variations of the prototype ADC are measured in Fig. 17(b), where input frequencies are increased from 4 MHz to 100 MHz at a sampling rate of 160 MS/s. The SNDR and SFDR are maintained above db and Table 3. Performance comparison with the previous reported T-I ADCs [11] [23] [24] [25] db up to the Nyquist input frequency, while the - 3 db effective resolution bandwidth (ERBW) is measured to 100 MHz. The performance of the prototype ADC is summarized in Table 2 and the performances of previously reported T-I ADCs are compared in Table 3. The prototype ADC maintains a SNDR of 51.1 db at the Nyquist rate without any calibration and shows a figure of merit (FoM) comparable to the other T-I ADCs. VI. CONCLUSION This work Resolution [bits] Speed [MS/s] Supply [V] Power [mw] 2.30* 2.72* 8.80* 46.80** 3.5* FoM (fj/conv.) Area [mm 2 ] Calibration O O X X X SNDR [db] (@ Nyquist) Process [CMOS] 65 nm 65 nm 45 nm 130 nm 28 nm ( * : without IVREFs, ** : with IVREFs) This work proposes a 10b 160 MS/s pipelined-sar ADC based on a dual-channel T-I topology, minimizing the circuit noise and the offset mismatch between channels without any calibration. The proposed ADC employs a comparator sharing technique between two channels to reduce the offset mismatch, power consumption, and die area. A single residue amplifier is

10 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.5, OCTOBER, also shared between two channels to solve simultaneously the offset, gain, and bandwidth mismatches. The second-stage 7b SAR ADCs are based on a hybrid DAC using C and R-2R arrays to decide the last two LSBs, minimizing power consumption and die area by reducing the number of unit capacitors. The asynchronous SAR algorithm eliminates the need for a high-speed internal clock generator as observed in the common synchronous SAR type ADCs. Three separate reference voltage drivers for three critical circuit blocks of 4b and 7b SAR ADCs and a single residue amplifier relieve the undesirable disturbance among reference voltages due to different high-speed switching operation. The prototype ADC in a 28 nm CMOS technology occupies an active die area of 0.23 mm 2. The ADC demonstrates a measured DNL and INL within 0.70 LSB and 0.71 LSB, with a maximum SNDR and SFDR of db and db at 160 MS/s, respectively. The power consumption of the prototype ADC is 5.6 mw including an on-chip reference generator and voltage drivers, at 160 MS/s with a 1.0 V supply voltage. ACKNOWLEDGMENTS This work was supported by Samsung Electronics, the Sogang University Research Grant , and the IDEC of KAIST. REFERENCES [1] H. Kim, T. An, S. Myung, and S. Lee, "Timeinterleaved and circuit-shared dual-channel 10 b 200 MS/s 0.18 µm CMOS analog-to-digital convertor," IEEE Trans. Very Large Integration System, vol. 21, no. 12, pp , Dec., [2] C. Tseng, Y. Hsieh, C. Yang and H. Chen, "A 10- bit 200 MS/s capacitor-sharing pipeline ADC," IEEE Trans. Circuits Syst. I, vol. 60, no. 11, pp , Nov., [3] Y. Kim and S. Lee, "A 10-b 120-MS/s 45 nm CMOS ADC using a re-configurable three-stage switched amplifier," Analog Integrated Circuits and Signal Processing, vol. 72, no. 1, pp.75-87, Jan., [4] S. Lee, Y. Jeon, J. Kwon, and J. Kim, "A 10-bit 205-MS/s 1.0-mm 2 90-nm CMOS pipeline ADC for flat panel display applications," IEEE J. Solid- States Circuits, vol. 42, no. 12, pp , Dec., [5] K. Hseush, Y. Chou, Y. Tu, Y. Chen, Y. Yang, and H. Li, A 1V 11b 200MS/s pipelined ADC with digital background calibration in 65nm CMOS, in ISSCC Dig. Tech Papers, pp , Feb., [6] C. Tseng, H. Chen, W. Shen, W. Cheng, and H. Chen, "A 10-b 320-MS/s stage-gain-error selfcalibration pipeline ADC," IEEE J. Solid-State Circuits, vol. 47, no. 6, pp , Apr., [7] Y. Chen, S. Tsukamoto, and T. Kuroda, "A 9b 100MS/s 1.46mW SAR ADC in 65nm CMOS," In Proc. ASSCC, pp , Nov., [8] M. Yoshioka, K. Ishikawa, T. Takayama, and S. Tsukamoto, "A 10b 50MS/s 820uW SAR ADC with on-chip digital calibration," In ISSCC Dig. Tech Papers, pp , Feb., [9] H. Choi, Y. Kim, G. Ahn, and S. Lee, "A 1.2-V 12- b 120-MS/s SHA-free dual-channel Nyquist ADC based on midcode calibration," IEEE Trans. Circuits Syst. I, vol. 56, no. 5, pp , May, [10] J. E. Eklund, and F. Gustafsson, "Digital offset compensation of time-interleaved ADC using random chopper sampling," In Proc. ISCAS, pp , May, [11] S. Wong, U. Chio, Y. Zhu, S. Sin, S. U, and R. P. Martin, "A 2.3 mw 10-bit 170 MS/s two-step binary-search assisted time-interleaved SAR ADC," IEEE J. Solid-States Circuits, vol. 48, no. 8, pp , Aug., [12] M. Yip, and A. P. Chandrakasan, "A resolutionreconfigurable 5-to-10-bit 0.4-to-1 V power scalable SAR ADC for sensor applications," IEEE J. Solid-State Circuits, vol. 48, no. 6, pp , June, [13] E. Alpman, H. Lakdawala, L. R. Carley, and K. Soumyanath, "A 1.1V 50mW 2.5GS/s 7b timeinterleaved C-2C SAR ADC in 45nm LP digital CMOS," In ISSCC Dig. Tech Papers, pp.76-77, Feb., [14] Y. Zhu, C. Chan, U. Chio, S. Sin, S. U, R. P. Martins, and F. Maloberti, "A 10-bit 100-MS/s reference free SAR ADC in 90 nm CMOS," IEEE J. Solid-State Circuits, vol. 45, no. 6, pp , June, 2010.

11 646 TAI-JI AN et al : A TWO-CHANNEL 10B 160 MS/s 28 nm CMOS ASYNCHRONOUS PIPELINED-SAR ADC WITH LOW [15] D. Hwang, J. Song, S. Nam, H. Kim, T. An, K. Kim, and S. Lee, "A range-scaled 13b 100 MS/s 0.13 um CMOS SHA free ADC based on a single reference," Journal of Semiconductor Technology and Science, vol. 13, no. 2, pp , Feb., [16] Y. Zhu, C. Chan, S. Sin, S. U, R. P. Martins, and F. Maloberti, "A 50-fJ 10-b 160-MS/s pipelined-sar ADC decoupled flip-around MDAC and selfembedded offset cancellation," IEEE J. Solid-State Circuit, vol. 47, no. 11, pp , Nov., [17] C. Kuo, and C. Hsieh, "A high energy-efficiency SAR ADC based on partial floating capacitor switching technique," In Proc. ESSCIRC, pp , Sept., [18] C. C. Lee and M. P. Flynn, "A 12b 50MS/s 3.5mW SAR assisted 2-stage pipeline ADC," IEEE Symposium on VLSI Circuits, pp , June, [19] K. Lee, K. Kim, and S. Lee, "A 12b 50 MS/s 21.6 mw 0.18 μm CMOS ADC maximally sharing capacitors and op-amps," IEEE Trans. Circuits Syst. I, vol. 58, no. 9, pp , Sept., [20] Y. Kim, J. Park, Y. Shin, and S. Lee, "An 87 fj/conversion-step 12 b 10 MS/s SAR ADC using a minimum number of unit capacitors," Analog Integrated Circuits and Signal Processing, vol. 80, no. 1, pp.49-57, Jan., [21] J. Yang, T. L. Naing, and R. W. Brodersen, "A 1 GS/s 6 bit 6.7mW successive approximation ADC using asynchronous processing," IEEE J. Solid- State Circuit, vol. 45, no. 8, pp , Aug., [22] S. Cho, C. Lee, S. Lee, and S. Ryu, "A two-channel asynchronous SAR ADC with metastable-then-set algorithm," IEEE Symposium on VLSI Circuits, vol. 20, no. 4, pp , Apr., [23] Y. Zhu, C. Chan, S. Sin, S. U, R. P. Martins, and F. Maloberti, "A 35fJ 10b 160MS/s pipelined-sar ADC with decoupled flip-around MDAC and selfembedded offset cancellation," In Proc. ASSCC, pp.61-64, Nov., [24] Y. Cho, H. Shim, and S. Lee, "A non-calibrated 2x interleaved 10b 120MS/s pipeline SAR ADC with minimized channel offset mismatch," Journal of the Institute of Electronic and Information Engineering, vol. 52, no. 9, pp , Sept., [25] H. Park, M. Choi, S. Nam, T. An, and S. Lee, "A mismatch-error minimized four-channel timeinterleaved 11 b 150 MS/s pipelined SAR ADC," Analog Integrated Circuits and Signal Processing, vol. 76, no. 1, pp.1-13, Jan., Tai-Ji An received the B.S. degree in electronic engineering from University of Seoul, Korea, in 2007, and the M.S. degree in electronic engineering from Sogang University, Korea, in From 2007 to 2011, he was with Luxen Technologies, where he had developed various power-management and analog integrated circuits. He has been in the Ph.D. program at Sogang University since He is a full scholarship student supported by Samsung electronics. His current interests are in the design of high-resolution low-power CMOS data converters, PMICs, display driver ICs, and very high-speed mixed-mode integrated systems. Young-Sea Cho received the B.S. and M.S. degrees in electronic engineering from Sogang University, Korea, in 2014 and 2016, respectively. He is now with Samsung Electronics, Korea. His current interests are in the design of highresolution low-power CMOS data converters, PMICs, and very high-speed mixed-mode integrated systems. Jun-Sang Park received the B.S. and M.S. degrees in electronic engineering from Sogang University, Seoul, Korea, in 2012 and 2014, respectively, where he is currently pursuing the Ph.D. degree. He is a recipient of a five-year full scholarship sponsored by Samsung electronics. His current interests are in the design of high-resolution low-power CMOS data converters, PMICs, and very high-speed mixed-mode integrated systems.

12 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.5, OCTOBER, Gil-Cho Ahn received the B.S. and M.S. degrees in electronic engineering from Sogang University, Seoul, Korea, in 1994 and 1996, respectively, and the Ph.D. degree in electrical engineering from Oregon State University, Corvallis, in From 1996 to 2001, he was a Design Engineer at Samsung Electronics, Kiheung, Korea, working on mixed analog-digital integrated circuits. From 2005 to 2008, he was with Broadcom Corporation, Irvine, CA, working on AFE for digital TV. Currently, he is an Associate Professor in the Department of Electronic Engineering, Sogang University. His research interests include high-speed, high-resolution data converters and low-voltage, low-power mixed-signal circuits design. Seung-Hoon Lee received the B.S. and M.S. degrees in electronic engineering from Seoul National University, Korea, in 1984 and 1986, respectively, and the Ph.D. degree in electrical and computer engineering from the University of Illinois, Urbana-Champaign, in He was with Analog Devices Semiconductor, Wilmington, MA, from 1990 to 1993, as a Senior Design Engineer. Since 1993, he has been with the Department of Electronic Engineering, Sogang University, Seoul, where he is currently a Professor. His current research interests include design and testing of high-resolution high-speed CMOS data converters, CMOS communication circuits, integrated sensors, and mixed-mode integrated systems. Dr. Lee has been a member of the editorial board and the technical program committee of many international and domestic journals and conferences including the IEEK Journal of Semiconductor Devices, Circuits, and Systems, the IEICE Transactions on Electronics, and the IEEE Symposium on VLSI Circuits. Since 2006, he has been organizing various industry-university mutual cooperative programs with many companies such as Samsung Electronics, SK Hynix, and LG Electronics. In 2010, he founded Analog IP Research Center supported by the Ministry of Science, ICT&Future Planning, Korea.

A 12b 100 MS/s Three-Step Hybrid Pipeline ADC Based on Time-Interleaved SAR ADCs

A 12b 100 MS/s Three-Step Hybrid Pipeline ADC Based on Time-Interleaved SAR ADCs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.2, APRIL, 2014 http://dx.doi.org/10.5573/jsts.2014.14.2.189 A 12b 100 MS/s Three-Step Hybrid ADC Based on Time-Interleaved SAR ADCs Jun-Sang

More information

RECENTLY, low-voltage and low-power circuit design

RECENTLY, low-voltage and low-power circuit design IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 4, APRIL 2008 319 A Programmable 0.8-V 10-bit 60-MS/s 19.2-mW 0.13-m CMOS ADC Operating Down to 0.5 V Hee-Cheol Choi, Young-Ju

More information

A Rail-to-Rail Input 12b 2 MS/s 0.18 µm CMOS Cyclic ADC for Touch Screen Applications

A Rail-to-Rail Input 12b 2 MS/s 0.18 µm CMOS Cyclic ADC for Touch Screen Applications 160 HEE-CHEOL CHOI et al : A RAIL-TO-RAIL INPUT 12B 2 MS/S 0.18 µm CMOS CYCLIC ADC FOR TOUCH SCREEN APPLICATIONS A Rail-to-Rail Input 12b 2 MS/s 0.18 µm CMOS Cyclic ADC for Touch Screen Applications Hee-Cheol

More information

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.2, APRIL, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.2.281 ISSN(Online) 2233-4866 A 4b/cycle Flash-assisted SAR ADC with

More information

620 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 3, MARCH /$ IEEE

620 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 3, MARCH /$ IEEE 620 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 3, MARCH 2010 A 12 bit 50 MS/s CMOS Nyquist A/D Converter With a Fully Differential Class-AB Switched Op-Amp Young-Ju Kim, Hee-Cheol Choi, Gil-Cho

More information

/$ IEEE

/$ IEEE 894 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 56, NO. 5, MAY 2009 A 1.2-V 12-b 120-MS/s SHA-Free Dual-Channel Nyquist ADC Based on Midcode Calibration Hee-Cheol Choi, Young-Ju Kim,

More information

A 35 fj 10b 160 MS/s Pipelined- SAR ADC with Decoupled Flip- Around MDAC and Self- Embedded Offset Cancellation

A 35 fj 10b 160 MS/s Pipelined- SAR ADC with Decoupled Flip- Around MDAC and Self- Embedded Offset Cancellation Y. Zu, C.- H. Chan, S.- W. Sin, S.- P. U, R.P. Martins, F. Maloberti: "A 35 fj 10b 160 MS/s Pipelined-SAR ADC with Decoupled Flip-Around MDAC and Self- Embedded Offset Cancellation"; IEEE Asian Solid-

More information

2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS

2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS 2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS November 30 - December 3, 2008 Venetian Macao Resort-Hotel Macao, China IEEE Catalog Number: CFP08APC-USB ISBN: 978-1-4244-2342-2 Library of Congress:

More information

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth LETTER IEICE Electronics Express, Vol.11, No.2, 1 9 A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth Mingshuo Wang a), Fan Ye, Wei Li, and Junyan Ren b) State Key Laboratory

More information

A 2.5 V 109 db DR ADC for Audio Application

A 2.5 V 109 db DR ADC for Audio Application 276 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.10, NO.4, DECEMBER, 2010 A 2.5 V 109 db DR ADC for Audio Application Gwangyol Noh and Gil-Cho Ahn Abstract A 2.5 V feed-forward second-order deltasigma

More information

A CMOS Analog Front-End for Driving a High-Speed SAR ADC in Low-Power Ultrasound Imaging Systems

A CMOS Analog Front-End for Driving a High-Speed SAR ADC in Low-Power Ultrasound Imaging Systems A CMOS Analog Front-End for Driving a High-Speed SAR ADC in Low-Power Ultrasound Imaging Systems Taehoon Kim, Han Yang, Sangmin Shin, Hyongmin Lee and Suhwan Kim Electrical and Computer Engineering and

More information

PAPER A Single Amplifier-Based 12-bit 100 MS/s 1V19mW0.13µm CMOS ADC with Various Power and Area Minimized Circuit Techniques

PAPER A Single Amplifier-Based 12-bit 100 MS/s 1V19mW0.13µm CMOS ADC with Various Power and Area Minimized Circuit Techniques 1282 PAPER A Single Amplifier-Based 12-bit 100 MS/s 1V19mW0.13µm CMOS ADC with Various Power and Area Minimized Circuit Techniques Byeong-Woo KOO, Member, Seung-Jae PARK, Gil-Cho AHN, and Seung-Hoon LEE

More information

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 25.4 A 1.8V 14b 10MS/s Pipelined ADC in 0.18µm CMOS with 99dB SFDR Yun Chiu, Paul R. Gray, Borivoje Nikolic University of California, Berkeley,

More information

SUCCESSIVE approximation register (SAR) analog-todigital

SUCCESSIVE approximation register (SAR) analog-todigital 426 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 62, NO. 5, MAY 2015 A Novel Hybrid Radix-/Radix-2 SAR ADC With Fast Convergence and Low Hardware Complexity Manzur Rahman, Arindam

More information

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract , pp.17-22 http://dx.doi.org/10.14257/ijunesst.2016.9.8.02 A 12-bit 100kS/s SAR ADC for Biomedical Applications Sung-Chan Rho 1 and Shin-Il Lim 2 1 Department of Electronics and Computer Engineering, Seokyeong

More information

A Two- Bit- per- Cycle Successive- Approximation ADC with Background Offset Calibration

A Two- Bit- per- Cycle Successive- Approximation ADC with Background Offset Calibration M. Casubolo, M. Grassi, A. Lombardi, F. Maloberti, P. Malcovati: "A Two-Bit-per- Cycle Successive-Approximation ADC with Background Calibration"; 15th IEEE Int. Conf. on Electronics, Circuits and Systems,

More information

WITH the recent development of communication systems

WITH the recent development of communication systems IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 58, NO. 9, SEPTEMBER 2011 2127 A 12b 50 MS/s 21.6 mw 0.18 m CMOS ADC Maximally Sharing Capacitors and Op-Amps Kyung-Hoon Lee, Student Member,

More information

An Optimized DAC Timing Strategy in SAR ADC with Considering the Overshoot Effect

An Optimized DAC Timing Strategy in SAR ADC with Considering the Overshoot Effect Journal of Electrical and Electronic Engineering 2015; 3(2): 19-24 Published online March 31, 2015 (http://www.sciencepublishinggroup.com/j/jeee) doi: 10.11648/j.jeee.20150302.12 ISSN: 2329-1613 (Print);

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

A b dual-mode low-noise pipeline ADC for high-end CMOS image sensors

A b dual-mode low-noise pipeline ADC for high-end CMOS image sensors Analog Integr Circ Sig Process (2014) 80:437 447 DOI 10.1007/s10470-014-0356-3 A 14 10 b dual-mode low-noise pipeline ADC for high-end CMOS image sensors Suk-Hee Cho Jun-Sang Park Gil-Cho Ahn Seung-Hoon

More information

Proposing. An Interpolated Pipeline ADC

Proposing. An Interpolated Pipeline ADC Proposing An Interpolated Pipeline ADC Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Lab. Background 38GHz long range mm-wave system Role of long range mm-wave Current Optical

More information

A 12b 50MS/s 2.1mW SAR ADC with redundancy and digital background calibration

A 12b 50MS/s 2.1mW SAR ADC with redundancy and digital background calibration A b 5MS/s.mW SAR ADC with redundancy and digital background calibration The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As

More information

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Bootstrapped ring oscillator with feedforward

More information

SUCCESSIVE approximation register (SAR) analog-todigital

SUCCESSIVE approximation register (SAR) analog-todigital IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 4, APRIL 2010 731 A 10-bit 50-MS/s SAR ADC With a Monotonic Capacitor Switching Procedure Chun-Cheng Liu, Student Member, IEEE, Soon-Jyh Chang, Member,

More information

Architectures and circuits for timeinterleaved. Sandeep Gupta Teranetics, Santa Clara, CA

Architectures and circuits for timeinterleaved. Sandeep Gupta Teranetics, Santa Clara, CA Architectures and circuits for timeinterleaved ADC s Sandeep Gupta Teranetics, Santa Clara, CA Outline Introduction to time-interleaved architectures. Conventional Sampling architectures and their application

More information

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY Neha Bakawale Departmentof Electronics & Instrumentation Engineering, Shri G. S. Institute of

More information

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier Hyunui Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline Background Body voltage controlled

More information

Design of Pipeline Analog to Digital Converter

Design of Pipeline Analog to Digital Converter Design of Pipeline Analog to Digital Converter Vivek Tripathi, Chandrajit Debnath, Rakesh Malik STMicroelectronics The pipeline analog-to-digital converter (ADC) architecture is the most popular topology

More information

HIGH-SPEED low-resolution analog-to-digital converters

HIGH-SPEED low-resolution analog-to-digital converters 244 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 64, NO. 3, MARCH 2017 A 0.95-mW 6-b 700-MS/s Single-Channel Loop-Unrolled SAR ADC in 40-nm CMOS Long Chen, Student Member, IEEE, Kareem

More information

Asynchronous SAR ADC: Past, Present and Beyond. Mike Shuo-Wei Chen University of Southern California MWSCAS 2014

Asynchronous SAR ADC: Past, Present and Beyond. Mike Shuo-Wei Chen University of Southern California MWSCAS 2014 Asynchronous SAR ADC: Past, Present and Beyond Mike Shuo-Wei Chen University of Southern California MWSCAS 2014 1 Roles of ADCs Responsibility of ADC is increasing more BW, more dynamic range Potentially

More information

A NOVEL MDAC SUITABLE FOR A 14B, 120MS/S ADC, USING A NEW FOLDED CASCODE OP-AMP

A NOVEL MDAC SUITABLE FOR A 14B, 120MS/S ADC, USING A NEW FOLDED CASCODE OP-AMP A NOVEL MDAC SUITABLE FOR A 14B, 120MS/S ADC, USING A NEW FOLDED CASCODE OP-AMP Noushin Ghaderi 1, Khayrollah Hadidi 2 and Bahar Barani 3 1 Faculty of Engineering, Shahrekord University, Shahrekord, Iran

More information

Analog-to-Digital i Converters

Analog-to-Digital i Converters CSE 577 Spring 2011 Analog-to-Digital i Converters Jaehyun Lim, Kyusun Choi Department t of Computer Science and Engineering i The Pennsylvania State University ADC Glossary DNL (differential nonlinearity)

More information

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop Seong-Jin An 1 and Young-Shig Choi 2 Department of Electronic Engineering, Pukyong National University

More information

Publication [P3] By choosing to view this document, you agree to all provisions of the copyright laws protecting it.

Publication [P3] By choosing to view this document, you agree to all provisions of the copyright laws protecting it. Publication [P3] Copyright c 2006 IEEE. Reprinted, with permission, from Proceedings of IEEE International Solid-State Circuits Conference, Digest of Technical Papers, 5-9 Feb. 2006, pp. 488 489. This

More information

Design of an Assembly Line Structure ADC

Design of an Assembly Line Structure ADC Design of an Assembly Line Structure ADC Chen Hu 1, Feng Xie 1,Ming Yin 1 1 Department of Electronic Engineering, Naval University of Engineering, Wuhan, China Abstract This paper presents a circuit design

More information

Design of Analog Integrated Systems (ECE 615) Outline

Design of Analog Integrated Systems (ECE 615) Outline Design of Analog Integrated Systems (ECE 615) Lecture 9 SAR and Cyclic (Algorithmic) Analog-to-Digital Converters Ayman H. Ismail Integrated Circuits Laboratory Ain Shams University Cairo, Egypt ayman.hassan@eng.asu.edu.eg

More information

A SAR-Assisted Two-Stage Pipeline ADC Chun C. Lee, Member, IEEE, and Michael P. Flynn, Senior Member, IEEE

A SAR-Assisted Two-Stage Pipeline ADC Chun C. Lee, Member, IEEE, and Michael P. Flynn, Senior Member, IEEE IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 46, NO. 4, APRIL 2011 859 A SAR-Assisted Two-Stage Pipeline ADC Chun C. Lee, Member, IEEE, and Michael P. Flynn, Senior Member, IEEE Abstract Successive approximation

More information

Tuesday, March 1st, 9:15 11:00. Snorre Aunet Nanoelectronics group Department of Informatics University of Oslo.

Tuesday, March 1st, 9:15 11:00. Snorre Aunet Nanoelectronics group Department of Informatics University of Oslo. Nyquist Analog to Digital it Converters Tuesday, March 1st, 9:15 11:00 Snorre Aunet (sa@ifi.uio.no) Nanoelectronics group Department of Informatics University of Oslo 3.1 Introduction 3.1.1 DAC applications

More information

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.6, DECEMBER, 2016 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2016.16.6.842 ISSN(Online) 2233-4866 A 82.5% Power Efficiency at 1.2 mw

More information

A Multichannel Pipeline Analog-to-Digital Converter for an Integrated 3-D Ultrasound Imaging System

A Multichannel Pipeline Analog-to-Digital Converter for an Integrated 3-D Ultrasound Imaging System 1266 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 7, JULY 2003 A Multichannel Pipeline Analog-to-Digital Converter for an Integrated 3-D Ultrasound Imaging System Kambiz Kaviani, Student Member,

More information

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.6, DECEMBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.6.825 ISSN(Online) 2233-4866 An All-digital Delay-locked Loop using

More information

DESIGN OF A 500MHZ, 4-BIT LOW POWER ADC FOR UWB APPLICATION

DESIGN OF A 500MHZ, 4-BIT LOW POWER ADC FOR UWB APPLICATION DESIGN OF A 500MHZ, 4-BIT LOW POWER ADC FOR UWB APPLICATION SANTOSH KUMAR PATNAIK 1, DR. SWAPNA BANERJEE 2 1,2 E & ECE Department, Indian Institute of Technology, Kharagpur, Kharagpur, India Abstract-This

More information

WITH the rapid evolution of liquid crystal display (LCD)

WITH the rapid evolution of liquid crystal display (LCD) IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 2, FEBRUARY 2008 371 A 10-Bit LCD Column Driver With Piecewise Linear Digital-to-Analog Converters Chih-Wen Lu, Member, IEEE, and Lung-Chien Huang Abstract

More information

A single-slope 80MS/s ADC using two-step time-to-digital conversion

A single-slope 80MS/s ADC using two-step time-to-digital conversion A single-slope 80MS/s ADC using two-step time-to-digital conversion The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

DIGITAL wireless communication applications such as

DIGITAL wireless communication applications such as IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 57, NO. 8, AUGUST 2010 1829 An Asynchronous Binary-Search ADC Architecture With a Reduced Comparator Count Ying-Zu Lin, Student Member,

More information

THE pipelined ADC architecture has been adopted into

THE pipelined ADC architecture has been adopted into 1468 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 A 1.8-V 67-mW 10-bit 100-MS/s Pipelined ADC Using Time-Shifted CDS Technique Jipeng Li, Member, IEEE, and Un-Ku Moon, Senior Member,

More information

A High-Resolution Dual-Loop Digital DLL

A High-Resolution Dual-Loop Digital DLL JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.4, AUGUST, 216 ISSN(Print) 1598-1657 http://dx.doi.org/1.5573/jsts.216.16.4.52 ISSN(Online) 2233-4866 A High-Resolution Dual-Loop Digital DLL

More information

STT-MRAM Read-circuit with Improved Offset Cancellation

STT-MRAM Read-circuit with Improved Offset Cancellation JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.347 ISSN(Online) 2233-4866 STT-MRAM Read-circuit with Improved Offset

More information

A 2-bit/step SAR ADC structure with one radix-4 DAC

A 2-bit/step SAR ADC structure with one radix-4 DAC A 2-bit/step SAR ADC structure with one radix-4 DAC M. H. M. Larijani and M. B. Ghaznavi-Ghoushchi a) School of Engineering, Shahed University, Tehran, Iran a) ghaznavi@shahed.ac.ir Abstract: In this letter,

More information

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.4, DECEMBER, 2012 http://dx.doi.org/10.5573/jsts.2012.12.4.405 An 8-Gb/s Inductorless Adaptive Passive Equalizer in 0.18- µm CMOS Technology

More information

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER M. Taherzadeh-Sani, R. Lotfi, and O. Shoaei ABSTRACT A novel class-ab architecture for single-stage operational amplifiers is presented. The structure

More information

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3 ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3 25.3 A 96dB SFDR 50MS/s Digitally Enhanced CMOS Pipeline A/D Converter K. Nair, R. Harjani University of Minnesota, Minneapolis, MN Analog-to-digital

More information

Implementation of High Speed Low Power Split-SAR ADCS Using V cm and Capacitor Based Switching

Implementation of High Speed Low Power Split-SAR ADCS Using V cm and Capacitor Based Switching Implementation of High Speed Low Power Split-SAR ADCS Using V cm and Capacitor Based Switching M. Ranjithkumar [1], M.Bhuvaneswaran [2], T.Kowsalya [3] PG Scholar, ME-VLSI DESIGN, Muthayammal Engineering

More information

A 130mW 100MS/s Pipelined ADC with 69dB SNDR Enabled by Digital Harmonic Distortion Correction. Andrea Panigada, Ian Galton

A 130mW 100MS/s Pipelined ADC with 69dB SNDR Enabled by Digital Harmonic Distortion Correction. Andrea Panigada, Ian Galton A 130mW 100MS/s Pipelined ADC with 69dB SNDR Enabled by Digital Harmonic Distortion Correction Andrea Panigada, Ian Galton University of California at San Diego, La Jolla, CA INTEGRATED SIGNAL PROCESSING

More information

Mrs. C.Mageswari. [1] Mr. M.Ashok [2]

Mrs. C.Mageswari. [1] Mr. M.Ashok [2] DESIGN OF HIGH SPEED SPLIT SAR ADC WITH IMPROVED LINEARITY Mrs. C.Mageswari. [1] Mr. M.Ashok [2] Abstract--Recently low power Analog to Digital Converters (ADCs) have been developed for many energy constrained

More information

10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS

10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS 10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu*, Andy Burstein**, Mehrdad Heshami*** Agilent Technologies, Palo Alto, CA *Agilent Technologies, Colorado Springs,

More information

Design of a Low Power Current Steering Digital to Analog Converter in CMOS

Design of a Low Power Current Steering Digital to Analog Converter in CMOS Design of a Low Power Current Steering Digital to Analog Converter in CMOS Ranjan Kumar Mahapatro M. Tech, Dept. of ECE Centurion University of Technology & Management Paralakhemundi, India Sandipan Pine

More information

1.5 bit-per-stage 8-bit Pipelined CMOS A/D Converter for Neuromophic Vision Processor

1.5 bit-per-stage 8-bit Pipelined CMOS A/D Converter for Neuromophic Vision Processor 1.5 bit-per-stage 8-bit Pipelined CMOS A/D Converter for Neuromophic Vision Processor Yilei Li, Li Du 09212020027@fudan.edu.cn Abstract- Neuromorphic vision processor is an electronic implementation of

More information

CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE

CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE 3.1 INTRODUCTION An ADC is a device which converts a continuous quantity into discrete digital signal. Among its types, pipelined

More information

CMOS High Speed A/D Converter Architectures

CMOS High Speed A/D Converter Architectures CHAPTER 3 CMOS High Speed A/D Converter Architectures 3.1 Introduction In the previous chapter, basic key functions are examined with special emphasis on the power dissipation associated with its implementation.

More information

A low-variation on-resistance CMOS sampling switch for high-speed high-performance applications

A low-variation on-resistance CMOS sampling switch for high-speed high-performance applications A low-variation on-resistance CMOS sampling switch for high-speed high-performance applications MohammadReza Asgari 1 and Omid Hashemipour 2a) 1 Microelectronic Lab, Shahid Beheshti University, G. C. Tehran,

More information

2772 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 53, NO. 10, OCTOBER 2018

2772 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 53, NO. 10, OCTOBER 2018 2772 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 53, NO. 10, OCTOBER 2018 A 72.9-dB SNDR 20-MHz BW 2-2 Discrete-Time Resolution-Enhanced Sturdy MASH Delta Sigma Modulator Using Source-Follower-Based Integrators

More information

A 0.55 V 7-bit 160 MS/s Interpolated Pipeline ADC Using Dynamic Amplifiers

A 0.55 V 7-bit 160 MS/s Interpolated Pipeline ADC Using Dynamic Amplifiers A 0.55 V 7-bit 160 MS/s Interpolated Pipeline ADC Using Dynamic Amplifiers James Lin, Daehwa Paik, Seungjong Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada

More information

THE USE of multibit quantizers in oversampling analogto-digital

THE USE of multibit quantizers in oversampling analogto-digital 966 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 12, DECEMBER 2010 A New DAC Mismatch Shaping Technique for Sigma Delta Modulators Mohamed Aboudina, Member, IEEE, and Behzad

More information

IN the design of the fine comparator for a CMOS two-step flash A/D converter, the main design issues are offset cancelation

IN the design of the fine comparator for a CMOS two-step flash A/D converter, the main design issues are offset cancelation JOURNAL OF STELLAR EE315 CIRCUITS 1 A 60-MHz 150-µV Fully-Differential Comparator Erik P. Anderson and Jonathan S. Daniels (Invited Paper) Abstract The overall performance of two-step flash A/D converters

More information

Low-Power Pipelined ADC Design for Wireless LANs

Low-Power Pipelined ADC Design for Wireless LANs Low-Power Pipelined ADC Design for Wireless LANs J. Arias, D. Bisbal, J. San Pablo, L. Quintanilla, L. Enriquez, J. Vicente, J. Barbolla Dept. de Electricidad y Electrónica, E.T.S.I. de Telecomunicación,

More information

A Digital Readout IC with Digital Offset Canceller for Capacitive Sensors

A Digital Readout IC with Digital Offset Canceller for Capacitive Sensors http://dx.doi.org/10.5573/jsts.2012.12.3.278 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.3, SEPTEMBER, 2012 A Digital Readout IC with Digital Offset Canceller for Capacitive Sensors Dong-Hyuk

More information

Architectures and Design Methodologies for Very Low Power and Power Effective A/D Sigma-Delta Converters

Architectures and Design Methodologies for Very Low Power and Power Effective A/D Sigma-Delta Converters 0 Architectures and Design Methodologies for Very Low Power and Power Effective A/D Sigma-Delta Converters F. Maloberti University of Pavia - Italy franco.maloberti@unipv.it 1 Introduction Summary Sigma-Delta

More information

Two- Path Band- Pass Σ- Δ Modulator with 40- MHz IF 72- db DR at 1- MHz Bandwidth Consuming 16 mw

Two- Path Band- Pass Σ- Δ Modulator with 40- MHz IF 72- db DR at 1- MHz Bandwidth Consuming 16 mw I. Galdi, E. Bonizzoni, F. Maloberti, G. Manganaro, P. Malcovati: "Two-Path Band- Pass Σ-Δ Modulator with 40-MHz IF 72-dB DR at 1-MHz Bandwidth Consuming 16 mw"; 33rd European Solid State Circuits Conf.,

More information

A Successive Approximation ADC based on a new Segmented DAC

A Successive Approximation ADC based on a new Segmented DAC A Successive Approximation ADC based on a new Segmented DAC segmented current-mode DAC successive approximation ADC bi-direction segmented current-mode DAC DAC INL 0.47 LSB DNL 0.154 LSB DAC 3V 8 2MS/s

More information

A design of 16-bit adiabatic Microprocessor core

A design of 16-bit adiabatic Microprocessor core 194 A design of 16-bit adiabatic Microprocessor core Youngjoon Shin, Hanseung Lee, Yong Moon, and Chanho Lee Abstract A 16-bit adiabatic low-power Microprocessor core is designed. The processor consists

More information

A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs

A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs 1 A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs Masaya Miyahara, Yusuke Asada, Daehwa Paik and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline 2 Motivation The Calibration

More information

Second-Order Sigma-Delta Modulator in Standard CMOS Technology

Second-Order Sigma-Delta Modulator in Standard CMOS Technology SERBIAN JOURNAL OF ELECTRICAL ENGINEERING Vol. 1, No. 3, November 2004, 37-44 Second-Order Sigma-Delta Modulator in Standard CMOS Technology Dragiša Milovanović 1, Milan Savić 1, Miljan Nikolić 1 Abstract:

More information

Workshop ESSCIRC. Low-Power Data Acquisition System For Very Small Signals At Low Frequencies With12-Bit- SAR-ADC. 17. September 2010.

Workshop ESSCIRC. Low-Power Data Acquisition System For Very Small Signals At Low Frequencies With12-Bit- SAR-ADC. 17. September 2010. Workshop ESSCIRC Low-Power Data Acquisition System For Very Small Signals At Low Frequencies With12-Bit- SAR-ADC 17. September 2010 Christof Dohmen Outline System Overview Analog-Front-End Chopper-Amplifier

More information

Scalable and Synthesizable. Analog IPs

Scalable and Synthesizable. Analog IPs Scalable and Synthesizable Analog IPs Akira Matsuzawa Tokyo Institute of Technology Background and Motivation 1 Issues It becomes more difficult to obtain good analog IPs Insufficient design resources

More information

IMPLEMENTING THE 10-BIT, 50MS/SEC PIPELINED ADC

IMPLEMENTING THE 10-BIT, 50MS/SEC PIPELINED ADC 98 CHAPTER 5 IMPLEMENTING THE 0-BIT, 50MS/SEC PIPELINED ADC 99 5.0 INTRODUCTION This chapter is devoted to describe the implementation of a 0-bit, 50MS/sec pipelined ADC with different stage resolutions

More information

Acronyms. ADC analog-to-digital converter. BEOL back-end-of-line

Acronyms. ADC analog-to-digital converter. BEOL back-end-of-line Acronyms ADC analog-to-digital converter BEOL back-end-of-line CDF cumulative distribution function CMOS complementary metal-oxide-semiconductor CPU central processing unit CR charge-redistribution CS

More information

Lecture 9, ANIK. Data converters 1

Lecture 9, ANIK. Data converters 1 Lecture 9, ANIK Data converters 1 What did we do last time? Noise and distortion Understanding the simplest circuit noise Understanding some of the sources of distortion 502 of 530 What will we do today?

More information

CMOS ADC & DAC Principles

CMOS ADC & DAC Principles CMOS ADC & DAC Principles Willy Sansen KULeuven, ESAT-MICAS Leuven, Belgium willy.sansen@esat.kuleuven.be Willy Sansen 10-05 201 Table of contents Definitions Digital-to-analog converters Resistive Capacitive

More information

DAT175: Topics in Electronic System Design

DAT175: Topics in Electronic System Design DAT175: Topics in Electronic System Design Analog Readout Circuitry for Hearing Aid in STM90nm 21 February 2010 Remzi Yagiz Mungan v1.10 1. Introduction In this project, the aim is to design an adjustable

More information

PAPER A 10 b 200 MS/s1.8mm 2 83 mw 0.13 µm CMOS ADC Based on Highly Linear Integrated Capacitors

PAPER A 10 b 200 MS/s1.8mm 2 83 mw 0.13 µm CMOS ADC Based on Highly Linear Integrated Capacitors IEICE TRANS. ELECTRON., VOL.E90 C, NO.10 OCTOBER 2007 2037 PAPER A 10 b 200 MS/s1.8mm 2 83 mw 0.13 µm CMOS ADC Based on Highly Linear Integrated Capacitors Young-Ju KIM, Young-Jae CHO, Members, Doo-Hwan

More information

CAPACITOR mismatch is a major source of missing codes

CAPACITOR mismatch is a major source of missing codes 1626 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 7, JULY 2008 An 11-Bit 45 MS/s Pipelined ADC With Rapid Calibration of DAC Errors in a Multibit Pipeline Stage Imran Ahmed, Student Member, IEEE,

More information

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren Joint International Mechanical, Electronic and Information Technology Conference (JIMET 2015) A 14-bit 2.5 GS/s based on Multi-Clock Synchronization Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng,

More information

Integrated Microsystems Laboratory. Franco Maloberti

Integrated Microsystems Laboratory. Franco Maloberti University of Pavia Integrated Microsystems Laboratory Power Efficient Data Convertes Franco Maloberti franco.maloberti@unipv.it OUTLINE Introduction Managing the noise power budget Challenges of State-of-the-art

More information

Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters

Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters Abstract In this paper, we present a complete design methodology for high-performance low-power Analog-to-Digital

More information

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California A 4 GSample/s 8-bit ADC in 0.35 µm CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California 1 Outline Background Chip Architecture

More information

Digital Calibration for Current-Steering DAC Linearity Enhancement

Digital Calibration for Current-Steering DAC Linearity Enhancement Digital Calibration for Current-Steering DAC Linearity Enhancement Faculty of Science and Technology, Division of Electronics & Informatics Gunma University Shaiful Nizam Mohyar, Haruo Kobayashi Gunma

More information

An Energy-Efficient Low Frequency-Dependence Switching Technique for SAR ADCs Arindam Sanyal, Student Member, IEEE, and Nan Sun, Member, IEEE

An Energy-Efficient Low Frequency-Dependence Switching Technique for SAR ADCs Arindam Sanyal, Student Member, IEEE, and Nan Sun, Member, IEEE 294 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 61, NO. 5, MAY 2014 An Energy-Efficient Low Frequency-Dependence Switching Technique for SAR ADCs Arindam Sanyal, Student Member,

More information

EE247 Lecture 23. Advanced calibration techniques. Compensating inter-stage amplifier non-linearity Calibration via parallel & slow ADC

EE247 Lecture 23. Advanced calibration techniques. Compensating inter-stage amplifier non-linearity Calibration via parallel & slow ADC EE247 Lecture 23 Pipelined ADCs Combining the bits Stage implementation Circuits Noise budgeting Advanced calibration techniques Compensating inter-stage amplifier non-linearity Calibration via parallel

More information

Design of 10-bit current steering DAC with binary and segmented architecture

Design of 10-bit current steering DAC with binary and segmented architecture IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 13, Issue 3 Ver. III (May. June. 2018), PP 62-66 www.iosrjournals.org Design of 10-bit current

More information

A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS

A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS Shruti Gatade 1, M. Nagabhushan 2, Manjunath.R 3 1,3 Student, Department of ECE, M S Ramaiah Institute of Technology, Bangalore (India) 2 Assistant

More information

EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s

EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s B.Padmavathi, ME (VLSI Design), Anand Institute of Higher Technology, Chennai, India krishypadma@gmail.com Abstract In electronics, a comparator

More information

Research Article Improved Switching Energy Reduction Approach in Low-Power SAR ADC for Bioelectronics

Research Article Improved Switching Energy Reduction Approach in Low-Power SAR ADC for Bioelectronics Hindawi Publishing orporation VLSI Design Volume 26, Article ID 629254, 6 pages http://dx.doi.org/.55/26/629254 Research Article Improved Switching Energy Reduction Approach in Low-Power SAR AD for Bioelectronics

More information

PAPER A 12 b 200 ks/s 0.52 ma 0.47 mm 2 Algorithmic A/D Converter for MEMS Applications

PAPER A 12 b 200 ks/s 0.52 ma 0.47 mm 2 Algorithmic A/D Converter for MEMS Applications 206 PAPER A 12 b 200 ks/s 0.52 ma 0.47 mm 2 Algorithmic A/D Converter for MEMS Applications Young-Ju KIM, Hee-Cheol CHOI, Members, Seung-Hoon LEE a), and Dongil Dan CHO, Nonmembers SUMMARY This work describes

More information

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.6, NO.4, DECEMBER, 2006 281 A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration Tae-Geun Yu, Seong-Ik Cho, and Hang-Geun Jeong

More information

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 4 (April 2014), PP.01-06 Design of Low Power High Speed Fully Dynamic

More information

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage D. Aksin, M.A. Al- Shyoukh, F. Maloberti: "An 11 Bit Sub-Ranging SAR ADC with Input Signal Range of Twice Supply Voltage"; IEEE International Symposium on Circuits and Systems, ISCAS 2007, New Orleans,

More information

Design of Dynamic Latched Comparator with Reduced Kickback Noise

Design of Dynamic Latched Comparator with Reduced Kickback Noise Volume 118 No. 17 2018, 289-298 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu Design of Dynamic Latched Comparator with Reduced Kickback Noise N

More information

2. ADC Architectures and CMOS Circuits

2. ADC Architectures and CMOS Circuits /58 2. Architectures and CMOS Circuits Francesc Serra Graells francesc.serra.graells@uab.cat Departament de Microelectrònica i Sistemes Electrònics Universitat Autònoma de Barcelona paco.serra@imb-cnm.csic.es

More information

A Low-Power 6-b Integrating-Pipeline Hybrid Analog-to-Digital Converter

A Low-Power 6-b Integrating-Pipeline Hybrid Analog-to-Digital Converter A Low-Power 6-b Integrating-Pipeline Hybrid Analog-to-Digital Converter Quentin Diduck, Martin Margala * Electrical and Computer Engineering Department 526 Computer Studies Bldg., PO Box 270231 University

More information