An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs

Size: px
Start display at page:

Download "An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs"

Transcription

1 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.6, DECEMBER, 2017 ISSN(Print) ISSN(Online) An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs Jongsun Kim Abstract A new harmonic-free, fast-locking, alldigital delay-locked loop (DLL) that uses a lock-in pre-search (LPS) algorithm is presented for DDR3 and DDR4 SDRAMs. By adopting a new LPS algorithm that changes the propagation delay of the course delay line (CDL) with five delay steps, the DLL is able to find the approximate locking point before the normal operation. The DLL then performs a binary search and a sequential search to achieve fast locking without the harmonic lock problem. Fabricated in a 0.13-mm CMOS process, the simple digital DLL architecture achieves a wide frequency range of 0.15-to-2.2 GHz and a measured peak-topeak clock jitter of 7 ps at 2.2 GHz. It achieves a maximum locking time of 52 clock cycles, consumes 3.1 mw at 1 GHz from a 1.2 V supply, and occupies an active area of mm 2. delay-locked loop (DLL) that can operate at a wide frequency range from 0.3 GH to 1.6 GHz. Also, the DDR3/DDR4 DLL [1-4, 7] requires a fast locking time of less than 512 clock cycles and the supply voltage should be less than 1.2 V, while maintaining small-area, low power consumption, and low-jitter characteristics. Table 1 compares the key specifications of DDR-x SDRAMs. Although many digital DLLs have been introduced for DDR3 and DDR4 SDRAMs [1-4], only a handful can support the frequency ranges of both DDR3 and DDR4 simultaneously. The maximum operating frequency of Ref. [2] is only 800 MHz and therefore cannot cover the operating frequency range required by DDR3 and DDR4. A major drawback of sref. [4] is that it does not consider fast locking issues. Therefore, the DLL architecture of Ref. [4] is not applicable to DDR4 because it cannot Index Terms Delay locked loop, DLL, DRAM, digital DLL, DDR3, DDR4, SDRAM I. INTRODUCTION Currently, the double data rate 3 (DDR3) and double data rate 4 (DDR4) synchronous dynamic random access memories (SDRAMs) are the most widely used low-cost main memory solution for personal computers, servers, and other embedded system applications. One of the main challenges in the design of DDR3 and DDR4 SDRAMs is the implementation of a low-cost, all-digital, Manuscript received Feb. 19, 2017; accepted Aug. 11, 2017 School of Electronic and Electrical Engineering, Hongik University js.kim@hongik.ac.kr Table 1. Comparison of DDR-x SDRAM specifications Min./Max. Clock Frequency (MHz) Dara Rate per pin (Mbps) Max. Transfer Rate (GB/s) Supply Voltage (V) Memory Interface Standard DLL Locking Time (tdllk) DDR1 DDR2 DDR3 DDR4 100/ / / / tck DLL Enabled - - Release (year) 1.5 (1.35 DDR3L) 1.2 SSTL_2 SSTL_1.8 SSTL_1.5 POD_ cycles 512 cycles MHz 597 MHz MHz

2 826 JONGSUN KIM : AN ALL-DIGITAL DELAY-LOCKED LOOP USING A LOCK-IN PRE-SEARCH ALGORITHM FOR Fig. 1. Proposed all-digital DLL using a lock-in pre-search (LPS) algorithm Overall architecture, Locking process when the locking point is between Step3 and Step4. satisfy the fast locking time specification. Since the DDR-x SDRAMs have a long clock distribution network (CDN) which is connected to the output drivers (DQs) of the SDRAM, the replica clock path (RCP), located in the feedback path of the DLL, should be considered in the design of DLL architectures for skew cancellation [2]. Unfortunately, many DLLs, such as [5, 6, 8], did not consider the RCP overhead in their architecture design. Moreover, the harmonic lock problem must be eliminated to reduce the power consumption and the clock jitter [2, 5, 8-10]. This paper proposes a new low-cost wide-range alldigital DLL that is suitable for use in both DDR3 and DDR4 SDRAMs [13]. In order to achieve both a wide frequency range and fast locking capability without the harmonic lock problem, the proposed DLL utilizes a new lock-in pre-search (LPS) algorithm. When compared to the anti-harmonic algorithms introduced in [5, 8], which are very complex and sensitive to supply noise, the proposed LPS is simple, noise-tolerant and can find the approximate locking point more easily. With any amount of propagation delay in the RCP, the proposed DLL achieves a wide frequency range from 0.15 GHz to 2.2 GHz with a maximum locking time of only 52 clock

3 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.6, DECEMBER, Fig. 2. Proposed CDL structure and the number of active DEs in the LPS mode. cycles without the harmonic lock problem. This paper is organized as follows. Section II describes the proposed all-digital DLL architecture. Section III shows the implementation results of the fabricated DLL chip. Finally, the conclusions are given in Section IV. II. PROPOSED DIGITAL DLL ARCHITECTURE Fig. 1 illustrates the proposed all-digital DLL, which consists of a digitally controlled delay line (DCDL) comprising a coarse delay line (CDL) and a fine delay line (FDL), a DCDL control logic, a 1/4 frequency divider, and a phase detector (PD). The DCDL control logic includes a 4-bit ring counter, 9-bit successive approximation register (SAR), a mode control block, and a 5-to-32 thermometer decoder. Fig. 1 shows the initial locking process of the proposed DLL using the LPS and binary search (BS) mode. When the DLL begins operation, the DLL first performs the LPS mode: The DCDL control logic finds the approximate locking point by changing R[3:0] of the 4-bit ring-counter from [0000] to [1000] in five steps as shown in Fig. 1. In Fig. 1, we assume that the locking point is located between Step3 and Step4. The R[3:0] code bits are loaded to the 4 most-significant-bits (MSBs) of the 9-b SAR, S[8:5], at every rising edge of the CLK 4 which has 1/4 th frequency of CLK IN. Then the 5 MSBs of the SAR, S[8:4], are converted to the thermometer codes, C[31:0], by the 5-to-32 decoder with an initial value of S[4] = [1]. Fig. 2 depicts the operation of the LPS mode in greater detail. The CDL consists of 32 cascaded NAND-based delay elements (DEs) and the C[31:0] bits are used for controlling the number of active DEs. Depending on the C[31:0] bits, the number of active DEs is changed from 1 (@ Step1) to 16 (@ Step5). The LPS algorithm is simple and insensitive to supply noise. With this algorithm, the harmonic locking problem can be inherently avoided because it searches for the locking point by monotonically increasing the CDL delay in five steps before normal operation. Referring to Fig. 1 and 2, the DLL starts at Step 1 with one active DE (#1) when the control codes R[3:0] = [0000] and S[8:4] = [00001]. In step 1, the position of CLK OUT is at point A as shown in Fig. 2. Since the CLK OUT leads CLK IN at this point A, the output signal Comp of the PD remains in logic low, which means the DLL needs to increase the DCDL delay for phase locking. Therefore, the ringcounter moves to the next sequence Step 2 by increasing the number of active DEs to two (#1 and #2) with R[3:0] = [0001] and S[8:4] = [00010], resulting in the position of CLK OUT being moved to point B. Since the Comp still remains in low in Step 2, the LPS mode moves to Step 3 (S[8:4] = [00100]) and the number of active DE becomes four (#1 ~ #4) and the position of CLK OUT is at point C. When the LPS mode moves to Step 4 (S[8:4] = [01000]) with eight active DEs (#1 ~ #8), the position of CLK OUT is at point D and the Comp becomes logic high. This means the CLK OUT lags CLK IN and the proper locking point is located in between points C and D Then the binary search mode enable (BSM EN ) signal becomes logic high, and this completes the LPS mode

4 828 JONGSUN KIM : AN ALL-DIGITAL DELAY-LOCKED LOOP USING A LOCK-IN PRE-SEARCH ALGORITHM FOR Fig. 3. Schematic of the DCDL with CDL and FDL. and performs the BS mode by resetting the S[8:4] bits to the previous state (= Step 3 with S[8:4] = [00100]) at the rising edge of CLK 4. Here, when the DLL enters the BS mode with = [ ], only the six leastsignificant-bits (LSBs), S[5:0], are used for controlling the binary search since S[k] is 1 where k = 7. After the BS mode, the 9-b SAR is transformed into a sequential counter and the DLL performs the sequential search, maintaining a closed loop to preserve the fine phase locking. The variable propagation delay of the FDL is controlled by the 4 LSBs of the SAR, S[3:0]. To support the frequency ranges (0.3 to 1.6 GHz) of both DDR3 and DDR4, the programmable DLL delay needs to be in the range from ns to 3.33 ns. The propagation delay of the DE (t DE ) is around 120 ps and the programmable delay amount of the FDL is equal to one t DE, resulting in a small delay resolution of t DE /2 4 = 7.5 ps in this design. The LPS mode requires a maximum five CLK 4 cycles and the BS mode requires a maximum eight CLK 4 cycles. Fig. 3 shows the schematic of the DCDL, which consists of a CDL and a FDL. The CDL is a cascaded lattice delay unit (LDU) which is a NDND-based delay cell [9-11]. The CDL contains thirty-two conventional LDUs. The FDL consists of two inverters (INV1 and INV2) and a 4-bit feedback delay element (FDE) introduced in [12]. This FDE utilizes positive feedback to achieve a variable switching threshold, resulting in a digitally adjustable linear propagation delay [12]. The DCDL shows monotonic delay behavior with respect to the 9-bit digital control input. (c) Fig. 4. Die microphotograph and layout, Test CoB of the proposed DLL, (c) Schematic of the test CoB. III. EXPERIMENTAL RESULTS The proposed all-digital DLL was implemented in a 0.13-mm 1.2 V CMOS process and tested in a chip-onboard (CoB) assembly. Fig. 4 shows a die microphotograph, layout, and the test CoB of the proposed DLL, which occupies an active area of mm 2. Fig. 4(c) displays the schematic of the test CoB to measure the CLK IN and CLK OUT signals. Fig. 5 shows the simulated locking process of the proposed digital DLL in detail. Fig. 5 shows the case where the initial locking point is between Step3 and Step4 at 500 MHz, where it takes 44 input clock cycles for phase locking. Fig. 5 is the simulation result when the initial locking point is after Step5 at 250 MHz. As shown in Fig. 5, if the initial locking point is not found in the LPS mode, the mode

5 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.6, DECEMBER, Step1 Step2 Step3 Step CLKIN COMP BSMEN Start END End of process CLKOUT Lock-in Pre-Search (LPS) Sequential Step1 Step2 Step3 Step4 Step CLKIN COMP BSMEN Start END End of process CLKOUT Lock-in Pre-Search (LPS) Fig. 5. Simulated locking process When the initial locking point is located between Step3 and Step4 at 500 MHz, When the initial locking point is located after Step5 at 250 MHz. proceeds and a maximum locking time of 52 input clock cycles is required. After locking, the DLL maintains lock-in status in the sequential mode. Fig. 6 shows the measured locking process of the proposed DLL. After locking, the DLL maintains lock-in status in the sequential mode. As shown in Fig. 7, the measured peak-to-peak (p-p) output clock jitters are 20 ps and 7.0 ps at 0.15 GHz and 2.2 GHz, respectively. The proposed DLL achieves a frequency range of GHz and dissipates 3.1 mw and 7.2 mw at 1 GHz and 2.2 GHz, respectively. As shown in Table 2, compared with the state-of-the-art DDR3/DDR4 DLLs, the proposed DLL achieves lower jitter and consumes a lower level of power, while maintaining a fast locking Start IN CLK OUT CLK Fig. 6. Measured locking process. Phase Locked Sequential mode time of 52 cycles at the most without exhibiting any harmonic lock problem. Although other recent digital DLL architectures [6, 7] achieve good performances, [6]

6 830 JONGSUN KIM : AN ALL-DIGITAL DELAY-LOCKED LOOP USING A LOCK-IN PRE-SEARCH ALGORITHM FOR all-digital DLL for DDR3 and DDR4 is presented in this paper. By adopting a new LPS algorithm, the DLL achieves a wide operating frequency range without incurring the harmonic lock problem. The proposed LPS algorithm is very simple but effective in finding the approximate locking point before the normal binary search tracking, enabling a noise-tolerant, anti-harmonic and wide-range frequency operation. The proposed alldigital DLL can be easily adopted in DDR3 and DDR4 SDRAMs. ACKNOWLEDGMENTS Fig. 7. Measured peak-to-peak output clock jitter 0.15 GHz, 2 GHz. Table 2. Performance summary and comparison of state-of-theart DDR3/DDR4 DLLs [1] TCAS-I 12 [2] TCAS-II 15 [3] JSSC 13 Application DDR3 DDR3 DDR4 Process & Supply 45 nm 1.1 V 65 nm 1.1 V 30 nm 1.14 V is not able to deal with the RCP delay and the harmonic lock issue has not been considered as well. Although [7] achieves the highest operating frequency of 5 GH, it has a limited low frequency range of 1.5 GHz. IV. CONCLUSION [4] TCAS-II 16 DDR 3&4 65 nm 1.2 V This Work DDR 3&4 130 nm 1.2 V Active area (mm 2 ) N/A Frequency range (GHz) Locking time (cycles) N/A N/A 52 Anti-harmonic Lock O O X O O p-p jitter Power (mw@ghz) < 50 N/A 3.1@1 7.2@2.2 A harmonic-free, fast-locking, wide frequency range, This work was supported by the KIAT grant funded by the Korean government (MOTIE: Ministry of Trade, Industry & Energy, HRD Program for Software-SoC convergence. No. N ). The EDA tools were supported by IDEC. REFERENCES [1] H. Kang, et al, Process variation tolerant alldigital 90 phase shift DLL for DDR3 interface, IEEE Trans. Circuits Syst, 59, pp , [2] D. Jung, et al, All-digital fast-locking delaylocked loop using a cyclic-locking loop for DRAM, IEEE Trans. Circuits Syst. II, 62, pp , [3] K. Sohn, et al, A 1.2 V 30 nm 3.2 Gb/s/pin 4 Gb DDR4 SDRAM with dual-error detection and PVTtolerant data-fetch scheme, IEEE Trans. Circuits Syst., 48, pp , [4] J. Lim, et al, A delay locked loop with a feedback edge combiner of duty-cycle corrector with a 20% 80% input duty cycle for SDRAMs, IEEE Trans. Circuits Syst. II, 63, pp , [5] R. Yang, et al, A MHz harmonic-free alldigital delay-locked loop using a variable SAR algorithm, IEEE J. Solid-State Circuits, 42, pp , [6] J. Wang, et al, An all-digital delay-locked loop using an in-time phase maintenance scheme for low-jitter gigahertz operations, IEEE Trans. Circuits Syst., 62, pp , [7] D. Lee and Jongsun Kim, 5 GHz all-digital delaylocked loop for future memory systems beyond

7 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.6, DECEMBER, double data rate 4 synchronous dynamic random access memory, IET Electronics Letters, 51, pp , [8] L. Wang, et al, An implementation of fast-locking wide-range 11-bit reversible SAR DLL, IEEE Trans. Circuits Syst. II, 57, pp , [9] S. Han and Jongsun Kim, A high-resolution widerange dual-loop digital delay-locked loop using a hybrid-search algorithm, IEEE Asian Solid State Circuits Conference, pp , [10] Jongsun Kim and S. Han, A high-resolution dualloop digital DLL, J. of Semiconductor Technology and Science, 16, pp , [11] Rong-Jyi Yang, Shen-Iuan Liu, A MHz harmonic-free all-digital delay-locked loop using a variable SAR algorithm, IEEE J. Solid-State Circuits, vol. 42, No. 2, Feb 2007, pp [12] S. Han, T. Kim, and Jongsun Kim, A GHz all-digital phase inversion delay-locked loop, IEEE Asian Solid State Circuits Conference, pp , [13] D. Park, G. Park, and Jongsun Kim, A 0.15 to 2.2 GHz all-digital delay-locked loop, IEEE International NEWCAS Conference, pp , Jongsun Kim received his Ph.D. degree in electrical engineering from the University of California, Los Angeles (UCLA) in 2006 in the field of Integrated Circuits and Systems. He was a postdoctoral fellow at UCLA from 2006 to From 1994 to 2001 and from 2007 to 2008, he was with Samsung Electronics as a senior research engineer in the DRAM Design Team, where he worked on the design and development of Synchronous DRAMs, SGDRAMs, Rambus DRAMs, DDR3 and DDR4 DRAMs. Dr. Kim joined the School of Electronic & Electrical Engineering, Hongik University in March Professor Kim s research interests are in the areas of high-performance mixed-signal circuits and systems design. His current research areas include high-speed and low-power transceiver circuits for chip-to-chip communications, clock recovery circuits (PLLs/DLLs/ CDRs), frequency synthesizers, signal integrity and power integrity, ultra low-power memories, power-management ICs (PMICs), RF-interconnect circuits, and low-power memory interface circuits and systems.

A High-Resolution Dual-Loop Digital DLL

A High-Resolution Dual-Loop Digital DLL JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.4, AUGUST, 216 ISSN(Print) 1598-1657 http://dx.doi.org/1.5573/jsts.216.16.4.52 ISSN(Online) 2233-4866 A High-Resolution Dual-Loop Digital DLL

More information

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, OL.13, NO.5, OCTOBER, 2013 http://dx.doi.org/10.5573/jsts.2013.13.5.459 A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier Geontae

More information

A GHz Dual-Loop SAR-controlled Duty-Cycle Corrector Using a Mixed Search Algorithm

A GHz Dual-Loop SAR-controlled Duty-Cycle Corrector Using a Mixed Search Algorithm http://dx.doi.org/10.5573/jsts.2013.13.2.152 JURNAL F SEMICNDUCTR TECHNLGY AND SCIENCE, VL.13, N.2, APRIL, 2013 A 0.5 2.0 GHz DualLoop SARcontrolled DutyCycle Corrector Using a Mixed Search Algorithm Sangwoo

More information

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology Ching-Che Chung 1a), Duo Sheng 2, and Sung-En Shen 1 1 Department of Computer Science & Information

More information

DOUBLE DATA RATE (DDR) technology is one solution

DOUBLE DATA RATE (DDR) technology is one solution 54 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 2, NO. 6, JUNE 203 All-Digital Fast-Locking Pulsewidth-Control Circuit With Programmable Duty Cycle Jun-Ren Su, Te-Wen Liao, Student

More information

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.287 ISSN(Online) 2233-4866 A 10-Gb/s Multiphase Clock and Data Recovery

More information

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control Sooho Cha, Chunseok Jeong, and Changsik Yoo A phase-locked loop (PLL) is described which is operable from 0.4 GHz to 1.2

More information

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme Young-Chan Jang a) School of Electronic Engineering, Kumoh National Institute of Technology, 1, Yangho-dong,

More information

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck Synchronous Mirror Delays ECG 721 Memory Circuit Design Kevin Buck 11/25/2015 Introduction A synchronous mirror delay (SMD) is a type of clock generation circuit Unlike DLLs and PLLs an SMD is an open

More information

Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications

Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications Duo Sheng 1a), Ching-Che Chung 2,andChen-YiLee 1 1 Department of Electronics Engineering & Institute of

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters Jefferson A. Hora, Vincent Alan Heramiz,

More information

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 8, AUGUST 2002 1021 A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle Hsiang-Hui Chang, Student Member, IEEE, Jyh-Woei Lin, Ching-Yuan

More information

DELAY-LOCKED loops (DLLs) have been widely used to

DELAY-LOCKED loops (DLLs) have been widely used to 1262 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 6, JUNE 2006 All-Digital Delay-Locked Loop/Pulsewidth-Control Loop With Adjustable Duty Cycles You-Jen Wang, Shao-Ku Kao, and Shen-Iuan Liu, Senior

More information

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3 ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3 4.3 A Second-Order Semi-Digital Clock Recovery Circuit Based on Injection Locking M.-J. Edward Lee 1, William J. Dally 1,2,

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

A Clock and Data Recovery Circuit With Programmable Multi-Level Phase Detector Characteristics and a Built-in Jitter Monitor

A Clock and Data Recovery Circuit With Programmable Multi-Level Phase Detector Characteristics and a Built-in Jitter Monitor 1472 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 62, NO. 6, JUNE 2015 A Clock and Data Recovery Circuit With Programmable Multi-Level Phase Detector Characteristics and a Built-in

More information

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.2, APRIL, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.2.281 ISSN(Online) 2233-4866 A 4b/cycle Flash-assisted SAR ADC with

More information

GHz All-digital DLL for Mobile Memory Interface with Phase Sampling Window Adaptation to Reduce Jitter Accumulation

GHz All-digital DLL for Mobile Memory Interface with Phase Sampling Window Adaptation to Reduce Jitter Accumulation JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.411 ISSN(Online) 2233-4866 0.11-2.5 GHz All-digital DLL for Mobile

More information

IN RECENT years, the phase-locked loop (PLL) has been a

IN RECENT years, the phase-locked loop (PLL) has been a 430 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 6, JUNE 2010 A Two-Cycle Lock-In Time ADPLL Design Based on a Frequency Estimation Algorithm Chia-Tsun Wu, Wen-Chung Shen,

More information

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop Seong-Jin An 1 and Young-Shig Choi 2 Department of Electronic Engineering, Pukyong National University

More information

PHASE-LOCKED loops (PLLs) are widely used in many

PHASE-LOCKED loops (PLLs) are widely used in many IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 3, MARCH 2011 149 Built-in Self-Calibration Circuit for Monotonic Digitally Controlled Oscillator Design in 65-nm CMOS Technology

More information

MULTIPHASE clocks are useful in many applications.

MULTIPHASE clocks are useful in many applications. IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 3, MARCH 2004 469 A New DLL-Based Approach for All-Digital Multiphase Clock Generation Ching-Che Chung and Chen-Yi Lee Abstract A new DLL-based approach

More information

A High-speed SerDes Transceiver for Wireless Proximity Communication

A High-speed SerDes Transceiver for Wireless Proximity Communication JOUNAL OF SEMICONDUCTO TECHNOLOGY AND SCIENCE, VOL.18, NO.1, FEBUAY, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.1.042 ISSN(Online) 2233-4866 A High-speed SerDes Transceiver for Wireless

More information

A design of 16-bit adiabatic Microprocessor core

A design of 16-bit adiabatic Microprocessor core 194 A design of 16-bit adiabatic Microprocessor core Youngjoon Shin, Hanseung Lee, Yong Moon, and Chanho Lee Abstract A 16-bit adiabatic low-power Microprocessor core is designed. The processor consists

More information

Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip

Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip B. Janani, N.Arunpriya B.E, Dept. of Electronics and Communication Engineering, Panimalar Engineering College/ Anna

More information

Wide frequency range duty cycle correction circuit for DDR interface

Wide frequency range duty cycle correction circuit for DDR interface Wide frequency range duty cycle correction circuit for DDR interface Dongsuk Shin a), Soo-Won Kim, and Chulwoo Kim b) Dept. of Electronics and Computer Engineering, Korea University, Anam-dong, Seongbuk-Gu,

More information

DLL Based Clock Generator with Low Power and High Speed Frequency Multiplier

DLL Based Clock Generator with Low Power and High Speed Frequency Multiplier DLL Based Clock Generator with Low Power and High Speed Frequency Multiplier Thutivaka Vasudeepthi 1, P.Malarvezhi 2 and R.Dayana 3 1-3 Department of ECE, SRM University SRM Nagar, Kattankulathur, Kancheepuram

More information

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection Somnath Kundu 1, Bongjin Kim 1,2, Chris H. Kim 1 1

More information

An Area-efficient DLL based on a Merged Synchronous Mirror Delay Structure for Duty Cycle Correction

An Area-efficient DLL based on a Merged Synchronous Mirror Delay Structure for Duty Cycle Correction Proceedings of the 6th WSEAS Int. Conf. on Electronics, Hardware, Wireless and Optical Communications, Corfu Island, Greece, February 16-19, 2007 203 An Area-efficient DLL based on a Merged Synchronous

More information

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE MS. V.NIVEDITHA 1,D.MARUTHI KUMAR 2 1 PG Scholar in M.Tech, 2 Assistant Professor, Dept. of E.C.E,Srinivasa Ramanujan Institute

More information

Digital Controller Chip Set for Isolated DC Power Supplies

Digital Controller Chip Set for Isolated DC Power Supplies Digital Controller Chip Set for Isolated DC Power Supplies Aleksandar Prodic, Dragan Maksimovic and Robert W. Erickson Colorado Power Electronics Center Department of Electrical and Computer Engineering

More information

A Low Power, Small Area Cyclic Time-to-Digital Converter in All-Digital PLL for DVB-S2 Application

A Low Power, Small Area Cyclic Time-to-Digital Converter in All-Digital PLL for DVB-S2 Application JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.13, NO.2, APRIL, 2013 http://dx.doi.org/10.5573/jsts.2013.13.2.145 A Low Power, Small Area Cyclic Time-to-Digital Converter in All-Digital PLL for DVB-S2

More information

A Fast-Transient Wide-Voltage-Range Digital- Controlled Buck Converter with Cycle- Controlled DPWM

A Fast-Transient Wide-Voltage-Range Digital- Controlled Buck Converter with Cycle- Controlled DPWM A Fast-Transient Wide-Voltage-Range Digital- Controlled Buck Converter with Cycle- Controlled DPWM Abstract: This paper presents a wide-voltage-range, fast-transient all-digital buck converter using a

More information

THE DEMANDS of a high-bandwidth dynamic random access

THE DEMANDS of a high-bandwidth dynamic random access 422 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 7, JULY 2011 Clock- and Data-Recovery Circuit With Independently Controlled Eye-Tracking Loop for High-Speed Graphic DRAMs

More information

An ultra-low power BPSK demodulator with dual band filtering for implantable biomedical devices

An ultra-low power BPSK demodulator with dual band filtering for implantable biomedical devices LETTER IEICE Electronics Express, Vol.10, No.7, 1 5 An ultra-low power BPSK demodulator with dual band filtering for implantable biomedical devices Benjamin P. Wilkerson, Joon-Hyup Seo, Jin-Cheol Seo,

More information

Low Power Glitch Free Delay Lines

Low Power Glitch Free Delay Lines Low Power Glitch Free Delay Lines Y.Priyanka 1, Dr. N.Ravi Kumar 2 1 PG Student, Electronics & Comm. Engineering, Anurag Engineering College, Kodad, T.S, India 2 Professor, Electronics & Comm. Engineering,

More information

CHAPTER 2 LITERATURE SURVEY

CHAPTER 2 LITERATURE SURVEY 10 CHAPTER 2 LITERATURE SURVEY 2.1 INTRODUCTION Semiconductor technology provides a powerful means for implementation of analog, digital and mixed signal circuits for high speed systems. The high speed

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.4, DECEMBER, 2012 http://dx.doi.org/10.5573/jsts.2012.12.4.405 An 8-Gb/s Inductorless Adaptive Passive Equalizer in 0.18- µm CMOS Technology

More information

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation 196 LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation Ching-Yuan YANG a), Member and Jung-Mao LIN, Nonmember SUMMARY In this letter, a 1.25-Gb/s 0.18-µm

More information

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.3, JUNE, 2014 http://dx.doi.org/10.5573/jsts.2014.14.3.331 A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

More information

Acounter-basedall-digital spread-spectrum clock generatorwithhighemi reductionin65nmcmos

Acounter-basedall-digital spread-spectrum clock generatorwithhighemi reductionin65nmcmos LETTER IEICE Electronics Express, Vol.10, No.6, 1 6 Acounter-basedall-digital spread-spectrum clock generatorwithhighemi reductionin65nmcmos Ching-Che Chung 1a), Duo Sheng 2, and Wei-Da Ho 1 1 Department

More information

WITH the aid of wave-length division multiplexing technique,

WITH the aid of wave-length division multiplexing technique, 842 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 53, NO. 4, APRIL 2006 A 200-Mbps 2-Gbps Continuous-Rate Clock-and-Data-Recovery Circuit Rong-Jyi Yang, Student Member, IEEE, Kuan-Hua

More information

ISSN:

ISSN: High Frequency Power Optimized Ring Voltage Controlled Oscillator for 65nm CMOS Technology NEHA K.MENDHE 1, M. N. THAKARE 2, G. D. KORDE 3 Department of EXTC, B.D.C.O.E, Sevagram, India, nehakmendhe02@gmail.com

More information

A fast lock-in all-digital phase-locked loop in 40-nm CMOS technology

A fast lock-in all-digital phase-locked loop in 40-nm CMOS technology LETTER IEICE Electronics Express, Vol.13, No.17, 1 10 A fast lock-in all-digital phase-locked loop in 40-nm CMOS technology Ching-Che Chung a) and Chi-Kuang Lo Department of Computer Science & Information

More information

REDUCING power consumption and enhancing energy

REDUCING power consumption and enhancing energy 548 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 63, NO. 6, JUNE 2016 A Low-Voltage PLL With a Supply-Noise Compensated Feedforward Ring VCO Sung-Geun Kim, Jinsoo Rhim, Student Member,

More information

Multiple Reference Clock Generator

Multiple Reference Clock Generator A White Paper Presented by IPextreme Multiple Reference Clock Generator Digitial IP for Clock Synthesis August 2007 IPextreme, Inc. This paper explains the concept behind the Multiple Reference Clock Generator

More information

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 3, MARCH A Wide-Range and Fast-Locking All-Digital Cycle-Controlled Delay-Locked Loop

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 3, MARCH A Wide-Range and Fast-Locking All-Digital Cycle-Controlled Delay-Locked Loop IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 3, MARCH 2005 661 A Wide-Range and Fast-Locking All-Digital Cycle-Controlled Delay-Locked Loop Hsiang-Hui Chang, Student Member, IEEE, and Shen-Iuan Liu,

More information

A Monotonic and Low-Power Digitally Controlled Oscillator Using Standard Cells for SoC Applications

A Monotonic and Low-Power Digitally Controlled Oscillator Using Standard Cells for SoC Applications A Monotonic and Low-Power Digitally Controlled Oscillator Using Standard Cells for SoC Applications Duo Sheng, Ching-Che Chung, and Jhih-Ci Lan Department of Electrical Engineering, Fu Jen Catholic University,

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.6, DECEMBER, 2016 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2016.16.6.842 ISSN(Online) 2233-4866 A 82.5% Power Efficiency at 1.2 mw

More information

Dedication. To Mum and Dad

Dedication. To Mum and Dad Dedication To Mum and Dad Acknowledgment Table of Contents List of Tables List of Figures A B A B 0 1 B A List of Abbreviations Abstract Chapter1 1 Introduction 1.1. Motivation Figure 1. 1 The relative

More information

An Fpga Implementation Of N/N+1 Prescaler For A Low Power Single Phase Clock Distribution System

An Fpga Implementation Of N/N+1 Prescaler For A Low Power Single Phase Clock Distribution System An Fpga Implementation Of N/N+1 Prescaler For A Low Power Single Phase Clock Distribution System V Satya Deepthi 1, SnehaSuprakash 2, USBK MahaLakshmi 3 1 M.Tech student, 2 Assistant Professor, 3 Assistant

More information

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 LECTURE 160 CDR EXAMPLES INTRODUCTION Objective The objective of this presentation is: 1.) Show two examples of clock and data recovery

More information

The Use and Design of Synchronous Mirror Delays. Vince DiPuccio ECG 721 Spring 2017

The Use and Design of Synchronous Mirror Delays. Vince DiPuccio ECG 721 Spring 2017 The Use and Design of Synchronous Mirror Delays Vince DiPuccio ECG 721 Spring 2017 Presentation Overview Synchronization circuit Topologies covered in class PLL and DLL pros and cons Synchronous mirror

More information

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren Joint International Mechanical, Electronic and Information Technology Conference (JIMET 2015) A 14-bit 2.5 GS/s based on Multi-Clock Synchronization Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng,

More information

A fast programmable frequency divider with a wide dividing-ratio range and 50% duty-cycle

A fast programmable frequency divider with a wide dividing-ratio range and 50% duty-cycle A fast programmable frequency divider with a wide dividing-ratio range and 50% duty-cycle Mo Zhang a), Syed Kamrul Islam b), and M. Rafiqul Haider c) Department of Electrical & Computer Engineering, University

More information

1096 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 5, MAY 2014

1096 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 5, MAY 2014 1096 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 5, MAY 2014 High-Resolution All-Digital Duty-Cycle Corrector in 65-nm CMOS Technology Ching-Che Chung, Member, IEEE,

More information

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique ECE1352 Term Paper Low Voltage Phase-Locked Loop Design Technique Name: Eric Hu Student Number: 982123400 Date: Nov. 14, 2002 Table of Contents Abstract pg. 04 Chapter 1 Introduction.. pg. 04 Chapter 2

More information

VLSI Implementation of Auto-Correlation Architecture for Synchronization of MIMO-OFDM WLAN Systems

VLSI Implementation of Auto-Correlation Architecture for Synchronization of MIMO-OFDM WLAN Systems JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.10, NO.3, SEPTEMBER, 2010 185 VLSI Implementation of Auto-Correlation Architecture for Synchronization of MIMO-OFDM WLAN Systems Jongmin Cho*, Jinsang

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 16: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project descriptions are posted on the website Preliminary

More information

THE content-addressable memory (CAM) is one of the most

THE content-addressable memory (CAM) is one of the most 254 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 1, JANUARY 2005 A 0.7-fJ/Bit/Search 2.2-ns Search Time Hybrid-Type TCAM Architecture Sungdae Choi, Kyomin Sohn, and Hoi-Jun Yoo Abstract This paper

More information

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Abstract: Double-edged pulse width modulation (DPWM) is less sensitive to frequency-dependent losses in electrical

More information

A 1.25 GHz Low Power Multi-phase PLL Using Phase Interpolation between Two Complementary Clocks

A 1.25 GHz Low Power Multi-phase PLL Using Phase Interpolation between Two Complementary Clocks JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.6, DECEMBER, 2015 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2015.15.6.594 ISSN(Online) 2233-4866 A 1.25 GHz Low Power Multi-phase

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.4, AUGUST, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.4.552 ISSN(Online) 2233-4866 A 1.5 Gbps Transceiver Chipset in 0.13-mm

More information

2284 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 10, OCTOBER /$ IEEE

2284 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 10, OCTOBER /$ IEEE 2284 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 10, OCTOBER 2008 A 622-Mb/s Mixed-Mode BPSK Demodulator Using a Half-Rate Bang-Bang Phase Detector Duho Kim, Student Member, IEEE, Kwang-chun Choi,

More information

Available online at ScienceDirect. International Conference On DESIGN AND MANUFACTURING, IConDM 2013

Available online at  ScienceDirect. International Conference On DESIGN AND MANUFACTURING, IConDM 2013 Available online at www.sciencedirect.com ScienceDirect Procedia Engineering 64 ( 2013 ) 377 384 International Conference On DESIGN AND MANUFACTURING, IConDM 2013 A Novel Phase Frequency Detector for a

More information

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract , pp.17-22 http://dx.doi.org/10.14257/ijunesst.2016.9.8.02 A 12-bit 100kS/s SAR ADC for Biomedical Applications Sung-Chan Rho 1 and Shin-Il Lim 2 1 Department of Electronics and Computer Engineering, Seokyeong

More information

All-digital ramp waveform generator for two-step single-slope ADC

All-digital ramp waveform generator for two-step single-slope ADC All-digital ramp waveform generator for two-step single-slope ADC Tetsuya Iizuka a) and Kunihiro Asada VLSI Design and Education Center (VDEC), University of Tokyo 2-11-16 Yayoi, Bunkyo-ku, Tokyo 113-0032,

More information

A CMOS Clock and Data Recovery Circuit with a Half-Rate Three-State Phase Detector

A CMOS Clock and Data Recovery Circuit with a Half-Rate Three-State Phase Detector 746 PAPER Special Section on Analog Circuit and Device Technologies A CMOS Clock and Data Recovery Circuit with a Half-Rate Three-State Phase Detector Ching-Yuan YANG a), Member, Yu LEE, and Cheng-Hsing

More information

A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation

A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation 2518 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 59, NO. 11, NOVEMBER 2012 A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 380 391 A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator Seok KIM 1, Seung-Taek YOO 1,2,

More information

Digitally Controlled Delay Lines

Digitally Controlled Delay Lines IOSR Journal of VLSI and gnal Processing (IOSR-JVSP) Volume, Issue, Ver. I (May. -Jun. 0), PP -7 e-issn: 00, p-issn No. : 7 www.iosrjournals.org Digitally Controlled Delay Lines Mr. S Vinayaka Babu Abstract:

More information

A Frequency Synthesis of All Digital Phase Locked Loop

A Frequency Synthesis of All Digital Phase Locked Loop A Frequency Synthesis of All Digital Phase Locked Loop S.Saravanakumar 1, N.Kirthika 2 M.E.VLSI DESIGN Sri Ramakrishna Engineering College Coimbatore, Tamilnadu 1 s.saravanakumar21@gmail.com, 2 kirthi.com@gmail.com

More information

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 34 CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 3.1 Introduction A number of PWM schemes are used to obtain variable voltage and frequency supply. The Pulse width of PWM pulsevaries with

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 12: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report #2 due Apr. 20 Expand

More information

Published by: PIONEER RESEARCH & DEVELOPMENT GROUP ( 1

Published by: PIONEER RESEARCH & DEVELOPMENT GROUP (  1 Glitch free NAND based Digitally Controlled Delay Line for Spread Spectrum Clock Generator Christy Varghese 1 and E.Terence 2 1 Department of Electrical & Electronics Engineering, Hindustan Institute of

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

HIGH resolution time-to-digital converters (TDCs)

HIGH resolution time-to-digital converters (TDCs) 3064 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 57, NO. 12, DECEMBER 2010 A 14.6 ps Resolution, 50 ns Input-Range Cyclic Time-to-Digital Converter Using Fractional Difference Conversion

More information

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Bootstrapped ring oscillator with feedforward

More information

Simple odd number frequency divider with 50% duty cycle

Simple odd number frequency divider with 50% duty cycle Simple odd number frequency divider with 50% duty cycle Sangjin Byun 1a), Chung Hwan Son 1, and Jae Joon Kim 2 1 Div. Electronics and Electrical Engineering, Dongguk University - Seoul 26 Pil-dong 3-ga,

More information

A fully digital clock and data recovery with fast frequency offset acquisition technique for MIPI LLI applications

A fully digital clock and data recovery with fast frequency offset acquisition technique for MIPI LLI applications LETTER IEICE Electronics Express, Vol.10, No.10, 1 7 A fully digital clock and data recovery with fast frequency offset acquisition technique for MIPI LLI applications June-Hee Lee 1, 2, Sang-Hoon Kim

More information

Circuit Design for a 2.2 GByte/s Memory Interface

Circuit Design for a 2.2 GByte/s Memory Interface Circuit Design for a 2.2 GByte/s Memory Interface Stefanos Sidiropoulos Work done at Rambus Inc with A. Abhyankar, C. Chen, K. Chang, TJ Chin, N. Hays, J. Kim, Y. Li, G. Tsang, A. Wong, D. Stark Increasing

More information

20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband Radio Jamming Application

20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband Radio Jamming Application J Electr Eng Technol Vol. 9, No.?: 742-?, 2014 http://dx.doi.org/10.5370/jeet.2014.9.?.742 ISSN(Print) 1975-0102 ISSN(Online) 2093-7423 20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband

More information

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) Proceedings of the International Conference on Emerging Trends in Engineering and Management (ICETEM14) ISSN 0976

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 03, 2015 ISSN (online): 2321-0613 Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni

More information

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

More information

AS THE DATA rate demanded by multimedia system

AS THE DATA rate demanded by multimedia system 424 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 7, JULY 2012 An All-Digital Large-N Audio Frequency Synthesizer for HDMI Applications Ching-Che Chung, Member, IEEE, Duo Sheng,

More information

A Low-Power and Portable Spread Spectrum Clock Generator for SoC Applications

A Low-Power and Portable Spread Spectrum Clock Generator for SoC Applications IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 A Low-Power and Portable Spread Spectrum Clock Generator for SoC Applications Duo Sheng, Ching-Che Chung, and Chen-Yi Lee Abstract In

More information

A single-slope 80MS/s ADC using two-step time-to-digital conversion

A single-slope 80MS/s ADC using two-step time-to-digital conversion A single-slope 80MS/s ADC using two-step time-to-digital conversion The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI Assistant Professor, E Mail: manoj.jvwu@gmail.com Department of Electronics and Communication Engineering Baldev Ram Mirdha Institute

More information

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 3, MARCH

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 3, MARCH IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 3, MARCH 2012 143 A Time-to-Digital Converter Based on a Multiphase Reference Clock and a Binary Counter With a Novel Sampling

More information

A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement and Noise Cancellation

A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement and Noise Cancellation 2017 International Conference on Electronic, Control, Automation and Mechanical Engineering (ECAME 2017) ISBN: 978-1-60595-523-0 A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement

More information

All Digital Phase Locked Loop Architecture Design Using Vernier Delay Time-to- Digital Converter

All Digital Phase Locked Loop Architecture Design Using Vernier Delay Time-to- Digital Converter ISSN:1991-8178 Australian Journal of Basic and Applied Sciences Journal home page: www.ajbasweb.com All Digital Phase Locked Loop Architecture Design Using Vernier Delay Time-to- Digital Converter 1 T.M.

More information

Analysis of phase Locked Loop using Ring Voltage Controlled Oscillator

Analysis of phase Locked Loop using Ring Voltage Controlled Oscillator Analysis of phase Locked Loop using Ring Voltage Controlled Oscillator Abhishek Mishra Department of electronics &communication, suresh gyan vihar university Mahal jagatpura, jaipur (raj.), india Abstract-There

More information

A Clock Regenerator using Two 2 nd Order Sigma-Delta Modulators for Wide Range of Dividing Ratio

A Clock Regenerator using Two 2 nd Order Sigma-Delta Modulators for Wide Range of Dividing Ratio http://dx.doi.org/10.5573/jsts.2012.12.1.10 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.1, MARCH, 2012 A Clock Regenerator using Two 2 nd Order Sigma-Delta Modulators for Wide Range of

More information