A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

Size: px
Start display at page:

Download "A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation"

Transcription

1 WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford University, Stanford, CA 1 also with Rambus Inc., Mountain View, CA Adaptive power supply regulation reduces power dissipation in DSP and microprocessor cores [1,2]. A technique extends this concept to a high-performance parallel input/output (I/O) interface. An inverter, used as the basic delay element in the core of a dualloop delay-locked loop (DLL), has delay controlled by the supply voltage [3]. This control voltage is replicated by a high-efficiency switching supply to power the rest of the interface and to maximize energy-efficient operation. Figure presents a detailed diagram of the dual-loop DLL and an adaptive power supply regulator. The core loop locks the delay through the delay line to half a reference clock period, which is equal to the TX_clk period, by adjusting its supply voltage [3]. A unity gain amplifier buffers the charge pump voltage to drive the delay-line, and an efficient switching regulator replicates this voltage to the rest of the system. To align the on-chip receiver clocks with the incoming data, a finite state machine (FSM), embedded in the peripheral loop of the DLL, controls multiplexors that select adjacent pairs of evenly spaced edges from the core loop. From those edges, digital interpolators generate quadrature phased clock edges [3]. Adjusting the relative drive strength between two sets of tristate inverters enables variable-weight interpolation. A phasedetecting receiver in the feedback path of the peripheral loop optimally places the receive clock by cancelling out the data receiver set-up time. A performance limitation of this adaptive regulation technique may arise from the supply ripple induced by the switching regulator, which could cause additional timing jitter. Since the peripheral loop responds with a slew rate higher than the slew rate of the induced power supply ripple, however, the end-to-end receiver timing margin of the link, measured with and without supply ripple, confirms that the implemented DLL design completely compensates for this potential source of jitter. The transmitter consists of a pmos current-mode driver with a digitally configurable, parallel set of binary-weighted nmos termination transistors (Figure ). All swings are referenced to ground to enable communication between chips with different supply voltages. Parallel binary-weighted transmitter legs in the pmos current source adjust output swing magnitude and compensate for lower output currents due to a reduction in gate overdrive at lower supply voltages. Since the transmitter and preceding circuitry in the signal path all operate off of the regulated supply and gate delays track the DLL inverter delay, delays and transition times of edges remain a fixed percentage of the cycle, providing automatic slew rate control over a wide range of operating frequencies. The transmitters can be configured in single-ended mode by transmitting a reference voltage along with the single-ended signals or as pseudo-differential pairs that transmit complementary signals. A preamplifier followed by a regenerative latch, shown in Figure , receives the transmitted data. All components of the receiver operate off of the regulated supply, and a replica self-biasing scheme adjusts the preamplifier current to ensure its swing remains a fixed percentage of the supply [4]. Receiver bandwidth is set by the product of the output impedance (1/g m ) of the supplyconnected nmos loads and the capacitive loading on outputs a and a. Since the transconductance (g m ) of the loads tracks the g m of an inverter across voltage, the bandwidth of the preamplifier tracks an inverter delay to first order, and hence the bit rate. Setting the preamplifier bandwidth close to the clock rate of the incoming data filters out unwanted high frequency noise. A pair of nmos gates configures the preamplifier to receive either differential signals or a single-ended signal with a reference shared by all the receivers. The I/O interface is fabricated in a MOSIS 0.35µm process. The prototype die micrograph is shown in Figure and performance is summarized in Figure The test chip consists of four parallel sets of data receiver and transmitter pairs and one clock link. 8b data sequence generators and a 20b pseudo-random bit sequence (PRBS) generator and verifier test functionality and performance of the links. A digital PID controller matches the regulated supply to the input control voltage through on-chip power transistors and an off-chip inductor and capacitor pair that form a buck converter [1]. A combination of shifters, adders, and latches implement the PID control functions on binary representations of the control and regulated voltages, as shown in Figure Effective A/D conversion is achieved by counting pulses out of a long delay chain ring operating at the control voltage over a fixed period to generate the higher-order bits of the digital output. Detecting how far an edge has propagated through the delay chain by reading out its contents at the end of each period determines the lower-order bits [2]. The D/A conversion from the PID output to a pulse width modulated (PWM) square wave input to the buck employs a similar mechanism. This technique improves resolution of the A/D and D/A to a fraction of a ring-oscillator period and significantly reduces switching activity to only consume 1.5mW of total overhead power. The I/O interface successfully operates over a frequency range from 200Mb/s to 1Gb/s, with regulated voltages ranging from 1.2V to 3.2V. Figure plots the total regulated power consumed per link operating in differential and single-ended configurations versus clock frequency and presents the power savings potential of adaptive supply regulation. It also shows that single-ended transmitters require more than double the swing, and hence consume higher power than differential transmitters which require a pair of links. A breakdown of the power consumed by the test chip in Figure shows that the CV 2 f power of the clock distribution, test logic, and DLL dominates. Therefore, considerable energy savings is possible when operating at lower speeds. Figure plots an eye diagram of a PRBS transmitted at 0.8Gb/s. The transmitters are designed with rising and falling transitions that each consume approximately 30% of the cycle time. The figure also lists transition times as a percentage of the cycle time versus bit rate, showing the automatic slew rate control enabled by adaptive regulation of the supply with frequency. References: [1] Wei, G., M. Horowitz, A Fully Digital, Energy Efficient, Adaptive Powersupply Regulator, IEEE JSSC, pp , April [2] Chandrakasan, A., et al., Data Driven Signal Processing: An Approach for Energy Efficient Computing, IEEE ISLPED, pp , Aug [3] Sidiropoulos, S., M. Horowitz, A Semidigital Dual Delay-locked Loop, IEEE JSSC, pp , Nov [4] Johnson, M. Bias Circuit and Differential Amplifier having Stabilized Output Swing, US Patent , Sep Figure : Test chip performance summary.

2 Figure : Dual-loop DLL and adaptive power supply regulator. Figure : pmos current mode transmitter and frequency tracking receiver. Figure : Digitally-controlled power supply regulator. Figure : Test chip micrograph. Figure : Total I/O power breakdown at 0.8Gb/s and 2.7V regulated supply. Figure : Regulated power per link and minimum swing vs. frequency. Overhead represents average power consumed by the DLL, clock distribution and test circuitry amortized across 5 links. Figure : Data-eye diagram and slew rate vs. bit rate.

3 Figure : Dual-loop DLL and adaptive power supply regulator.

4 Figure : pmos current mode transmitter and frequency tracking receiver.

5 Figure : Test chip micrograph.

6 Figure : Test chip performance summary.

7 Figure : Digitally-controlled power supply regulator.

8 Figure : Regulated power per link and minimum swing vs. frequency. Overhead represents average power consumed by the DLL, clock distribution and test circuitry amortized across 5 links.

9 Figure : Total I/O power breakdown at 0.8Gb/s and 2.7V regulated supply.

10 Figure : Data-eye diagram and slew rate vs. bit rate.

A Low Power Switching Power Supply for Self-Clocked Systems 1. Gu-Yeon Wei and Mark Horowitz

A Low Power Switching Power Supply for Self-Clocked Systems 1. Gu-Yeon Wei and Mark Horowitz A Low Power Switching Power Supply for Self-Clocked Systems 1 Gu-Yeon Wei and Mark Horowitz Computer Systems Laboratory, Stanford University, CA 94305 Abstract - This paper presents a digital power supply

More information

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

More information

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR Yang-Shyung Shyu * and Jiin-Chuan Wu Dept. of Electronics Engineering, National Chiao-Tung University 1001 Ta-Hsueh Road, Hsin-Chu, 300, Taiwan * E-mail:

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

Circuit Design for a 2.2 GByte/s Memory Interface

Circuit Design for a 2.2 GByte/s Memory Interface Circuit Design for a 2.2 GByte/s Memory Interface Stefanos Sidiropoulos Work done at Rambus Inc with A. Abhyankar, C. Chen, K. Chang, TJ Chin, N. Hays, J. Kim, Y. Li, G. Tsang, A. Wong, D. Stark Increasing

More information

NOVEMBER 28, 2016 COURSE PROJECT: CMOS SWITCHING POWER SUPPLY EE 421 DIGITAL ELECTRONICS ERIC MONAHAN

NOVEMBER 28, 2016 COURSE PROJECT: CMOS SWITCHING POWER SUPPLY EE 421 DIGITAL ELECTRONICS ERIC MONAHAN NOVEMBER 28, 2016 COURSE PROJECT: CMOS SWITCHING POWER SUPPLY EE 421 DIGITAL ELECTRONICS ERIC MONAHAN 1.Introduction: CMOS Switching Power Supply The course design project for EE 421 Digital Engineering

More information

ENERGY-EFFICIENT I/O INTERFACE DESIGN WITH ADAPTIVE POWER-SUPPLY REGULATION ADISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING

ENERGY-EFFICIENT I/O INTERFACE DESIGN WITH ADAPTIVE POWER-SUPPLY REGULATION ADISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING ENERGY-EFFICIENT I/O INTERFACE DESIGN WITH ADAPTIVE POWER-SUPPLY REGULATION ADISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

REDUCING power dissipation in digital integrated circuits

REDUCING power dissipation in digital integrated circuits 520 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 34, NO. 4, APRIL 1999 A Fully Digital, Energy-Efficient, Adaptive Power-Supply Regulator Gu-Yeon Wei and Mark Horowitz Abstract A voltage scaling technique

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem A report Submitted to Canopus Systems Inc. Zuhail Sainudeen and Navid Yazdi Arizona State University July 2001 1. Overview

More information

A 16Ω Audio Amplifier with 93.8 mw Peak loadpower and 1.43 quiscent power consumption

A 16Ω Audio Amplifier with 93.8 mw Peak loadpower and 1.43 quiscent power consumption A 16Ω Audio Amplifier with 93.8 mw Peak loadpower and 1.43 quiscent power consumption IEEE Transactions on circuits and systems- Vol 59 No:3 March 2012 Abstract A class AB audio amplifier is used to drive

More information

Design of DC-DC Boost Converter in CMOS 0.18µm Technology

Design of DC-DC Boost Converter in CMOS 0.18µm Technology Volume 3, Issue 10, October-2016, pp. 554-560 ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Design of DC-DC Boost Converter in

More information

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 15.7 A 4µA-Quiescent-Current Dual-Mode Buck Converter IC for Cellular Phone Applications Jinwen Xiao, Angel Peterchev, Jianhui Zhang, Seth Sanders

More information

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI Assistant Professor, E Mail: manoj.jvwu@gmail.com Department of Electronics and Communication Engineering Baldev Ram Mirdha Institute

More information

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 LECTURE 160 CDR EXAMPLES INTRODUCTION Objective The objective of this presentation is: 1.) Show two examples of clock and data recovery

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 16: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project descriptions are posted on the website Preliminary

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

THE SELF-BIAS PLL IN STANDARD CMOS

THE SELF-BIAS PLL IN STANDARD CMOS THE SELF-BIAS PLL IN STANDAD CMOS Miljan Nikolić, Milan Savić, Predrag Petković Laboratory for Electronic Design Automation, Faculty of Electronic Engineering, University of Niš, Aleksandra Medvedeva 14.,

More information

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.6, DECEMBER, 2016 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2016.16.6.842 ISSN(Online) 2233-4866 A 82.5% Power Efficiency at 1.2 mw

More information

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements EE290C - Spring 04 Advanced Topics in Circuit Design High-Speed Electrical Interfaces Lecture 11 Components Phase-Locked Loops Viterbi Decoder Borivoje Nikolic March 2, 04. Announcements Homework #2 due

More information

A new class AB folded-cascode operational amplifier

A new class AB folded-cascode operational amplifier A new class AB folded-cascode operational amplifier Mohammad Yavari a) Integrated Circuits Design Laboratory, Department of Electrical Engineering, Amirkabir University of Technology, Tehran, Iran a) myavari@aut.ac.ir

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

A 3-10GHz Ultra-Wideband Pulser

A 3-10GHz Ultra-Wideband Pulser A 3-10GHz Ultra-Wideband Pulser Jan M. Rabaey Simone Gambini Davide Guermandi Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2006-136 http://www.eecs.berkeley.edu/pubs/techrpts/2006/eecs-2006-136.html

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

Comparative Analysis of Compensation Techniques for improving PSRR of an OPAMP

Comparative Analysis of Compensation Techniques for improving PSRR of an OPAMP Comparative Analysis of Compensation Techniques for improving PSRR of an OPAMP 1 Pathak Jay, 2 Sanjay Kumar M.Tech VLSI and Embedded System Design, Department of School of Electronics, KIIT University,

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

20Gb/s 0.13um CMOS Serial Link

20Gb/s 0.13um CMOS Serial Link 20Gb/s 0.13um CMOS Serial Link Patrick Chiang (pchiang@stanford.edu) Bill Dally (billd@csl.stanford.edu) Ming-Ju Edward Lee (ed@velio.com) Computer Systems Laboratory Stanford University Stanford University

More information

An Analog Phase-Locked Loop

An Analog Phase-Locked Loop 1 An Analog Phase-Locked Loop Greg Flewelling ABSTRACT This report discusses the design, simulation, and layout of an Analog Phase-Locked Loop (APLL). The circuit consists of five major parts: A differential

More information

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas Self-Biased PLL/DLL ECG721 60-minute Final Project Presentation Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas Outline Motivation Self-Biasing Technique Differential Buffer

More information

High Voltage Operational Amplifiers in SOI Technology

High Voltage Operational Amplifiers in SOI Technology High Voltage Operational Amplifiers in SOI Technology Kishore Penmetsa, Kenneth V. Noren, Herbert L. Hess and Kevin M. Buck Department of Electrical Engineering, University of Idaho Abstract This paper

More information

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 10.8 10Gb/s Limiting Amplifier and Laser/Modulator Driver in 0.18µm CMOS Technology Sherif Galal, Behzad Razavi Electrical Engineering

More information

Analysis and Design of High Speed Low Power Comparator in ADC

Analysis and Design of High Speed Low Power Comparator in ADC Analysis and Design of High Speed Low Power Comparator in ADC Yogesh Kumar M. Tech DCRUST (Sonipat) ABSTRACT: The fast growing electronics industry is pushing towards high speed low power analog to digital

More information

NEW WIRELESS applications are emerging where

NEW WIRELESS applications are emerging where IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 709 A Multiply-by-3 Coupled-Ring Oscillator for Low-Power Frequency Synthesis Shwetabh Verma, Member, IEEE, Junfeng Xu, and Thomas H. Lee,

More information

Advanced Operational Amplifiers

Advanced Operational Amplifiers IsLab Analog Integrated Circuit Design OPA2-47 Advanced Operational Amplifiers כ Kyungpook National University IsLab Analog Integrated Circuit Design OPA2-1 Advanced Current Mirrors and Opamps Two-stage

More information

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT PRADEEP G CHAGASHETTI Mr. H.V. RAVISH ARADHYA Department of E&C Department of E&C R.V.COLLEGE of ENGINEERING R.V.COLLEGE of ENGINEERING Bangalore

More information

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck Synchronous Mirror Delays ECG 721 Memory Circuit Design Kevin Buck 11/25/2015 Introduction A synchronous mirror delay (SMD) is a type of clock generation circuit Unlike DLLs and PLLs an SMD is an open

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN620: Network Theory Broadband Circuit Design Fall 2012 Lecture 20: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 2 is on Friday Nov. 9 One double-sided 8.5x11

More information

A 2-byte Parallel 1.25 Gb/s Interconnect I/O Interface with Self-configurable Link and Plesiochronous Clocking

A 2-byte Parallel 1.25 Gb/s Interconnect I/O Interface with Self-configurable Link and Plesiochronous Clocking UDC 621.3.049.771.14:681.3.01 A 2-byte Parallel 1.25 Gb/s Interconnect I/O Interface with Self-configurable Link and Plesiochronous Clocking VKohtaroh Gotoh VHideki Takauchi VHirotaka Tamura (Manuscript

More information

REDUCING power consumption and enhancing energy

REDUCING power consumption and enhancing energy 548 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 63, NO. 6, JUNE 2016 A Low-Voltage PLL With a Supply-Noise Compensated Feedforward Ring VCO Sung-Geun Kim, Jinsoo Rhim, Student Member,

More information

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.6

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.6 ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.6 26.6 40Gb/s Amplifier and ESD Protection Circuit in 0.18µm CMOS Technology Sherif Galal, Behzad Razavi University of California, Los Angeles, CA Optical

More information

EE 501 Lab 4 Design of two stage op amp with miller compensation

EE 501 Lab 4 Design of two stage op amp with miller compensation EE 501 Lab 4 Design of two stage op amp with miller compensation Objectives: 1. Design a two stage op amp 2. Investigate how to miller compensate a two-stage operational amplifier. Tasks: 1. Build a two-stage

More information

EVALUATION KIT AVAILABLE 28V, PWM, Step-Up DC-DC Converter PART V IN 3V TO 28V

EVALUATION KIT AVAILABLE 28V, PWM, Step-Up DC-DC Converter PART V IN 3V TO 28V 19-1462; Rev ; 6/99 EVALUATION KIT AVAILABLE 28V, PWM, Step-Up DC-DC Converter General Description The CMOS, PWM, step-up DC-DC converter generates output voltages up to 28V and accepts inputs from +3V

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

DESIGN OF A FULLY DIFFERENTIAL HIGH-SPEED HIGH-PRECISION AMPLIFIER

DESIGN OF A FULLY DIFFERENTIAL HIGH-SPEED HIGH-PRECISION AMPLIFIER DESIGN OF A FULLY DIFFERENTIAL HIGH-SPEED HIGH-PRECISION AMPLIFIER Mayank Gupta mayank@ee.ucla.edu N. V. Girish envy@ee.ucla.edu Design I. Design II. University of California, Los Angeles EE215A Term Project

More information

A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati 1 B.K.Arun Teja 2 K.Sai Ravi Teja 3

A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati 1 B.K.Arun Teja 2 K.Sai Ravi Teja 3 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 06, 2015 ISSN (online): 2321-0613 A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati

More information

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Abstract: Double-edged pulse width modulation (DPWM) is less sensitive to frequency-dependent losses in electrical

More information

Integrated Circuit Design for High-Speed Frequency Synthesis

Integrated Circuit Design for High-Speed Frequency Synthesis Integrated Circuit Design for High-Speed Frequency Synthesis John Rogers Calvin Plett Foster Dai ARTECH H O US E BOSTON LONDON artechhouse.com Preface XI CHAPTER 1 Introduction 1 1.1 Introduction to Frequency

More information

A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation

A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation 2518 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 59, NO. 11, NOVEMBER 2012 A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise

More information

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency Jamie E. Reinhold December 15, 2011 Abstract The design, simulation and layout of a UMAINE ECE Morse code Read Only Memory and transmitter

More information

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray Agenda Problems of On-chip Global Signaling Channel Design Considerations

More information

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters Jefferson A. Hora, Vincent Alan Heramiz,

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits Lec Sequential CMOS Logic Circuits Sequential Logic In Combinational Logic circuit Out Memory Sequential The output is determined by Current inputs Previous inputs Output = f(in, Previous In) The regenerative

More information

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter University of Pennsylvania ScholarlyCommons epartmental Papers (ESE) epartment of Electrical & Systems Engineering 7-1-2003 A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and

More information

Design and Analysis of Low Power Two Stage CMOS Op- Amp with 50nm Technology

Design and Analysis of Low Power Two Stage CMOS Op- Amp with 50nm Technology Design and Analysis of Low Power Two Stage CMOS Op- Amp with 50nm Technology Swetha Velicheti, Y. Sandhyarani, P.Praveen kumar, B.Umamaheshrao Assistant Professor, Dept. of ECE, SSCE, Srikakulam, A.P.,

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 4 (April 2014), PP.01-06 Design of Low Power High Speed Fully Dynamic

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

A High-Resolution Dual-Loop Digital DLL

A High-Resolution Dual-Loop Digital DLL JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.4, AUGUST, 216 ISSN(Print) 1598-1657 http://dx.doi.org/1.5573/jsts.216.16.4.52 ISSN(Online) 2233-4866 A High-Resolution Dual-Loop Digital DLL

More information

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS Sang-Min Yoo, Jeffrey Walling, Eum Chan Woo, David Allstot University of Washington, Seattle, WA Submission Highlight A fully-integrated

More information

AN increasing number of video and communication applications

AN increasing number of video and communication applications 1470 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 9, SEPTEMBER 1997 A Low-Power, High-Speed, Current-Feedback Op-Amp with a Novel Class AB High Current Output Stage Jim Bales Abstract A complementary

More information

THE GROWTH of the portable electronics industry has

THE GROWTH of the portable electronics industry has IEEE POWER ELECTRONICS LETTERS 1 A Constant-Frequency Method for Improving Light-Load Efficiency in Synchronous Buck Converters Michael D. Mulligan, Bill Broach, and Thomas H. Lee Abstract The low-voltage

More information

ECEN 474/704 Lab 5: Frequency Response of Inverting Amplifiers

ECEN 474/704 Lab 5: Frequency Response of Inverting Amplifiers ECEN 474/704 Lab 5: Frequency Response of Inverting Amplifiers Objective Design, simulate and layout various inverting amplifiers. Introduction Inverting amplifiers are fundamental building blocks of electronic

More information

NOWADAYS, multistage amplifiers are growing in demand

NOWADAYS, multistage amplifiers are growing in demand 1690 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 51, NO. 9, SEPTEMBER 2004 Advances in Active-Feedback Frequency Compensation With Power Optimization and Transient Improvement Hoi

More information

A High-Driving Class-AB Buffer Amplifier with a New Pseudo Source Follower

A High-Driving Class-AB Buffer Amplifier with a New Pseudo Source Follower A High-Driving Class-AB Buffer Amplifier with a New Pseudo Source Follower Chih-Wen Lu, Yen-Chih Shen and Meng-Lieh Sheu Abstract A high-driving class-ab buffer amplifier, which consists of a high-gain

More information

Practical Testing Techniques For Modern Control Loops

Practical Testing Techniques For Modern Control Loops VENABLE TECHNICAL PAPER # 16 Practical Testing Techniques For Modern Control Loops Abstract: New power supply designs are becoming harder to measure for gain margin and phase margin. This measurement is

More information

Case5:08-cv PSG Document Filed09/17/13 Page1 of 11 EXHIBIT

Case5:08-cv PSG Document Filed09/17/13 Page1 of 11 EXHIBIT Case5:08-cv-00877-PSG Document578-15 Filed09/17/13 Page1 of 11 EXHIBIT N ISSCC 2004 Case5:08-cv-00877-PSG / SESSION 26 / OPTICAL AND Document578-15 FAST I/O / 26.10 Filed09/17/13 Page2 of 11 26.10 A PVT

More information

Self Biased PLL/DLL. ECG 721 Memory Circuit Design (Spring 2017) Dane Gentry 4/17/17

Self Biased PLL/DLL. ECG 721 Memory Circuit Design (Spring 2017) Dane Gentry 4/17/17 Self Biased PLL/DLL ECG 721 Memory Circuit Design (Spring 2017) Dane Gentry 4/17/17 1 Jitter Self Biased PLL/DLL Differential Buffer Delay Fig. 19.57 Bias Generator Self Biased DLL Input/Output p Delay

More information

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection Somnath Kundu 1, Bongjin Kim 1,2, Chris H. Kim 1 1

More information

AS INTEGRATED circuit fabrication technologies

AS INTEGRATED circuit fabrication technologies 2720 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 12, DECEMBER 2006 A 0.5-GHz to 2.5-GHz PLL With Fully Differential Supply Regulated Tuning Merrick Brownlee, Student Member, IEEE, Pavan Kumar Hanumolu,

More information

5Gbps Serial Link Transmitter with Pre-emphasis

5Gbps Serial Link Transmitter with Pre-emphasis Gbps Serial Link Transmitter with Pre-emphasis Chih-Hsien Lin, Chung-Hong Wang and Shyh-Jye Jou Department of Electrical Engineering,National Central University,Chung-Li, Taiwan R.O.C. Abstract- High-speed

More information

ISSCC 2001 / SESSION 23 / ANALOG TECHNIQUES / 23.2

ISSCC 2001 / SESSION 23 / ANALOG TECHNIQUES / 23.2 ISSCC 2001 / SESSION 23 / ANALOG TECHNIQUES / 23.2 23.2 Dynamically Biased 1MHz Low-pass Filter with 61dB Peak SNR and 112dB Input Range Nagendra Krishnapura, Yannis Tsividis Columbia University, New York,

More information

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY Neha Bakawale Departmentof Electronics & Instrumentation Engineering, Shri G. S. Institute of

More information

Design of a 3.3-V 1-GHz CMOS Phase Locked Loop with a Two-Stage Self-Feedback Ring Oscillator

Design of a 3.3-V 1-GHz CMOS Phase Locked Loop with a Two-Stage Self-Feedback Ring Oscillator Journal of the Korean Physical Society, Vol. 37, No. 6, December 2000, pp. 803 807 Design of a 3.3-V 1-GHz CMOS Phase Locked Loop with a Two-Stage Self-Feedback Ring Oscillator Yeon Kug Moon Korea Advanced

More information

Analysis and Design of Analog Integrated Circuits Lecture 20. Advanced Opamp Topologies (Part II)

Analysis and Design of Analog Integrated Circuits Lecture 20. Advanced Opamp Topologies (Part II) Analysis and Design of Analog Integrated Circuits Lecture 20 Advanced Opamp Topologies (Part II) Michael H. Perrott April 15, 2012 Copyright 2012 by Michael H. Perrott All rights reserved. Outline of Lecture

More information

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver Arvin R. Shahani, Derek K. Shaeffer, Thomas H. Lee Stanford University, Stanford, CA At submicron channel lengths, CMOS is

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

To learn fundamentals of high speed I/O link equalization techniques.

To learn fundamentals of high speed I/O link equalization techniques. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab5 Equalization Circuits Objective To learn fundamentals of high speed I/O link equalization techniques. Introduction An ideal cable could propagate

More information

Design of High Performance PLL using Process,Temperature Compensated VCO

Design of High Performance PLL using Process,Temperature Compensated VCO Design of High Performance PLL using Process,Temperature Compensated O K.A.Jyotsna Asst.professor CVR College of Engineering Hyderabad D.Anitha Asst.professor GITAM University Hyderabad ABSTRACT In this

More information

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 5 Ver. II (Sep Oct. 2015), PP 109-115 www.iosrjournals.org Reduce Power Consumption

More information

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers Michael H. Perrott March 19, 2004 Copyright 2004 by Michael H. Perrott All rights reserved. 1 High Speed Frequency

More information

An Analog CMOS Double-Edge Multi-Phase Low- Latency Pulse Width Modulator

An Analog CMOS Double-Edge Multi-Phase Low- Latency Pulse Width Modulator An Analog CMOS Double-Edge Multi-Phase Low- Latency Pulse Width Modulator Jianhui Zhang Seth R. Sanders University of California, Berkeley Berkeley, CA 94720 USA zhangjh, sanders@eecs.berkeley.edu Abstract-This

More information

LM2900 LM3900 LM3301 Quad Amplifiers

LM2900 LM3900 LM3301 Quad Amplifiers LM2900 LM3900 LM3301 Quad Amplifiers General Description The LM2900 series consists of four independent dual input internally compensated amplifiers which were designed specifically to operate off of a

More information

SERIALIZED data transmission systems are usually

SERIALIZED data transmission systems are usually 124 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 56, NO. 1, JANUARY 2009 A Tree-Topology Multiplexer for Multiphase Clock System Hungwen Lu, Chauchin Su, Member, IEEE, and Chien-Nan

More information

Publication [P3] By choosing to view this document, you agree to all provisions of the copyright laws protecting it.

Publication [P3] By choosing to view this document, you agree to all provisions of the copyright laws protecting it. Publication [P3] Copyright c 2006 IEEE. Reprinted, with permission, from Proceedings of IEEE International Solid-State Circuits Conference, Digest of Technical Papers, 5-9 Feb. 2006, pp. 488 489. This

More information

Lecture 23: PLLs. Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class

Lecture 23: PLLs. Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 23: PLLs Announcements Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class Open book open notes Project

More information

Increasing Performance Requirements and Tightening Cost Constraints

Increasing Performance Requirements and Tightening Cost Constraints Maxim > Design Support > Technical Documents > Application Notes > Power-Supply Circuits > APP 3767 Keywords: Intel, AMD, CPU, current balancing, voltage positioning APPLICATION NOTE 3767 Meeting the Challenges

More information

Delay-based clock generator with edge transmission and reset

Delay-based clock generator with edge transmission and reset LETTER IEICE Electronics Express, Vol.11, No.15, 1 8 Delay-based clock generator with edge transmission and reset Hyunsun Mo and Daejeong Kim a) Department of Electronics Engineering, Graduate School,

More information

Experiment 1: Amplifier Characterization Spring 2019

Experiment 1: Amplifier Characterization Spring 2019 Experiment 1: Amplifier Characterization Spring 2019 Objective: The objective of this experiment is to develop methods for characterizing key properties of operational amplifiers Note: We will be using

More information

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING 3 rd Int. Conf. CiiT, Molika, Dec.12-15, 2002 31 DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING M. Stojčev, G. Jovanović Faculty of Electronic Engineering, University of Niš Beogradska

More information

DRIVEN by the growing demand of battery-operated

DRIVEN by the growing demand of battery-operated 1216 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 42, NO. 6, JUNE 2007 An SC Voltage Doubler with Pseudo-Continuous Output Regulation Using a Three-Stage Switchable Opamp Hoi Lee, Member, IEEE, and Philip

More information

ECEN 474/704 Lab 8: Two-Stage Miller Operational Amplifier

ECEN 474/704 Lab 8: Two-Stage Miller Operational Amplifier ECEN 474/704 Lab 8: Two-Stage Miller Operational Amplifier Objective Design, simulate and test a two-stage operational amplifier Introduction Operational amplifiers (opamp) are essential components of

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 12: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report #2 due Apr. 20 Expand

More information

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 8, AUGUST 2002 1021 A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle Hsiang-Hui Chang, Student Member, IEEE, Jyh-Woei Lin, Ching-Yuan

More information

Radivoje Đurić, 2015, Analogna Integrisana Kola 1

Radivoje Đurić, 2015, Analogna Integrisana Kola 1 OTA-output buffer 1 According to the types of loads, the driving capability of the output stages differs. For switched capacitor circuits which have high impedance capacitive loads, class A output stage

More information

Universal Input Switchmode Controller

Universal Input Switchmode Controller Universal Input Switchmode Controller Si9120 FEATURES 10- to 0- Input Range Current-Mode Control 12-mA Output Drive Internal Start-Up Circuit Internal Oscillator (1 MHz) and DESCRIPTION The Si9120 is a

More information