CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

Size: px
Start display at page:

Download "CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS"

Transcription

1 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor with Asynchronous Adiabatic Logic (CPTAAL) are described in this chapter. The CPTAAL and its design details for the implementation of full adder and multiplier circuits are also discussed. The performance analysis of the proposed designs of asynchronous adiabatic CMOS circuit design styles such as CPTAAL, DPTAAL and DRDAAL are reported and discussed in further sections. 5.1 COMPLEMENTARY PASS TRANSISTOR LOGIC (CPL) Complementary pass-transistor logic (CPL) refers to a logic family which is designed for specific advantages. CPL uses series of transistors to select between possible inverted output values of the logic, by which output can be used to drive an inverter to generate the non-inverted output signal. Inverted and non-inverted inputs are required to drive the gates of the pass-transistors. The main concept behind CPL is the use of an NMOS pass-transistor network for logic organization. CPL consists of complementary inputs/outputs and NMOS passtransistor logic network, CMOS output inverters. These inverters can be replaced by PMOS latches. The high level of the pass-transistor outputs

2 71 (nodes Q and Q') is a threshold voltage which is lower than the supply voltage. This loss in output voltage is pulled up by PMOS latches or CMOS output inverters. To maintain high speed switching and to make sure that the output signal has the same voltage swing as the input signal, it is important to use the PMOS latches or output inverters. This has the disadvantage that spikes occur on the power supply during switching. The input voltage-levels are equivalent to those of standard CMOS, and this has the disadvantage that the voltage-swing is equal to Vdd, as reported in Dai et al (2006). Complementary pass-transistor logic (CPL) uses a complementary output pass-transistor logic network to perform logic evaluation and CMOS inverters for driving of the outputs. The main concept behind CPL is the use of only NMOS network for the implementations of logic functions. This results in low input capacitance and high speed operation. The schematic diagram of the CPL full adder circuit is shown in the Figure 5.1. Because the high voltage level of the pass-transistor outputs is lower than the supply voltage level by the threshold voltage of the pass transistors, the signals have to be amplified by using CMOS inverters at the outputs, as reported in Zimmermann & Fichtner (1997). CPL circuits consume less power than conventional static circuits because the logic swing of the pass transistor outputs is smaller than the supply voltage level.

3 72 Figure 5.1 CPL full adder cell The switching power dissipated from charging or discharging the pass transistor outputs is given by, P D =V dd V swing C node f (5.1) Where V swing =V dd -V tn.

4 73 In the case of conventional static CMOS circuits the voltage swing at the output nodes is equal to the supply voltage resulting in high power dissipation. To minimize the static current due to the incomplete turn-off of the pmosfet in the output inverters, a weak pmosfet feedback device can also be added in the CPL circuits, in order to pull the pass-transistor outputs to full supply voltage level, as reported in Hu et al (2004). 5.2 CPTAAL DESIGN DESCRIPTIONS In this section, a framework for designing a low power full adder and multiplier circuits using CPTAAL designs are discussed. A unique approach, called complementary pass transistor with asynchronous adiabatic logic (CPTAAL) has been followed in these designs. Complementary pass transistor logic (CPL) is suitable for complex arithmetic circuits where no compromise on power dissipation is allowed. This technique is combined with asynchronous adiabatic logic (AAL) to obtain the energy saving benefits with improved circuit performance in full adder and multiplier designs. The main objective of this work is to design low power full adder using asynchronous adiabatic logic. The logic scheme for the proposed full adder cell is illustrated in Figure.5.2. In this, the entire system consists of two main blocks, namely logical block and control and regeneration (C&R) block.

5 74 Figure 5.2 Logic scheme for the proposed CPTAAL design As in Figure.5.2, data output signal of any adiabatic CPL block is not only going into next adiabatic CPL block as data input, but also, it is used to generate a control signal for the next adiabatic CPL block using C&R block. This technique helps to save the required power clock generator with less power than any other designs as reported by the authors Arsalan & Shams (2005) CPTAAL Full Adder The schematic diagram of the CPTAAL full adder circuit is shown in Figure 5.3. In this, asynchronous adiabatic full adder logic uses complementary pass-transistor logical block with C&R structures. The main difference of pass-transistor logic design compared to the CMOS logic design is that the source side of the logic transistor networks is connected to some input signals instead of the power lines, as reported in Hu et al (2005).

6 75 Figure 5.3 CPTAAL full adder logic diagram The benefit is that one pass-transistor network (either NMOS or PMOS) is sufficient to perform the logic operation, which results in a smaller number of transistors and smaller input loads, especially when NMOS networks are used. The proposed CPTAAL design uses an NMOS network for the implementation of logic functions and has differential inputs and outputs, thus resulting in low input capacitance, good output driving capability and

7 76 high-speed operation. As the high voltage level of the pass-transistor outputs is lower than the supply voltage level by the threshold voltage of the pass transistors, the signals have to be amplified by using CMOS inverters at the outputs. All these CPTAAL full adder blocks have been designed with PMOS/NMOS transistors, focusing low power consumption and high efficient operation. Table 5.1 illustrates the final sizes of the transistors used in each design block of the CPTAAL full adder. Table 5.1 Transistors sizes used in each design block of CPTAAL full adder PMOS NMOS Design Blocks Minimum Length (µm) Width (µm) Minimum Length (µm) Width (µm) Adiabatic CPL Gates C&R section CPTAAL Multiplier The proposed multiplier design scheme is illustrated in Figure.5.4. In this, data output signal of any CPTAAL full adder is not only going into next CPTAAL full adder as data input. But also, it is used to generate a control signal for the next CPTAAL full adder using C&R block 1. This technique helps to save the required power clock generator with less power. This approach gives the feasibility of using the adiabatic logic in real time implementations.

8 77 Figure 5.4 Logic scheme for the proposed CPTAAL multiplier design In this CPTAAL multiplier design, Control block is used to follow and preserve the power clock sequences with the input vectors. Regeneration gives power saving strategy. All logic gates or logic sequences are connected through C&R structure. The throughput of the logical systems is reduced by the intermediate C&R blocks due to the asynchronous mode of operation. The speed of operations can be compensated for the higher input frequency due to the improvement of speed grade of proposed asynchronous adiabatic logic, as reported in Wang et al (2007). 4 bit and 8 bit multipliers with energy efficient full adder using CPTAAL have been implemented and compared.

9 SIMULATION RESULTS CPTAAL full adder cell design using asynchronous adiabatic logic with complementary pass transistor is implemented. The simulation results of the CPTAAL full adder are presented in Figure 5.5, for the various combinations of the inputs from 000 to 111. The Power clock sequence for this full adder structure is shown in this simulation results and it is based on the conventional structure. These simulation results are obtained for a periodic sequence as represented in the Figure 5.5, propagated through the buffer chain. The CPTAAL full adder and multiplier design are studied and simulated on TSMC 0.18-μm CMOS process models in Tanner EDA tools with SPICE support, at 1.8V supply voltage. The standard values of gate capacitances and other MOSFET model parameters were included in this simulation. The simulation parameters of this process technology are discussed and summarized in Table 3.2, of chapter 3.

10 79 Figure 5.5 Simulation results of CPTAAL full adder cell 5.4 PERFORMANCE ANALYSIS AND DISCUSSION The performance analysis of the proposed CPTAAL design is discussed in this section. For the various frequency ranges, the average power consumed, delay of the circuit and power-delay product (PDP) of the proposed CPTAAL full adder is obtained and compared with the conventional CMOS full adder and the synchronous adiabatic full adder designs namely, PFAL, TGAL adders. The proposed CPTAAL design performances are also compared with DPTAAL and DRDAAL designs. By comparing the performance of the proposed design with DPTAAL, DRDAAL, PFAL, TGAL and CMOS designs, the proposed CPTAAL full adder circuit design exhibits less power consumption and a good robustness against technological parameter variations. This is reported in Table 5.2.

11 80 Table 5.2 Performance analysis of CPTAAL full adder with different logic designs Performance Metrics Logic Design Frequency (MHz) CPTAAL DPTAAL Power (μw) DRDAAL PFAL TGAL Conventional CMOS CPTAAL DPTAAL Delay (ns) DRDAAL PFAL TGAL Conventional CMOS CPTAAL DPTAAL PDP (µw-ns) DRDAAL PFAL TGAL Conventional CMOS

12 Power (µw) 81 As reported in Table 5.2, CPTAAL full adder circuit consumes less power than that of the conventional designs. The proposed design exhibits better PDP than the conventional designs. CPTAAL full adder design features the lowest power consumption per additions as compared with conventional CMOS design. HSPICE simulations showed power savings up to 93% in this full adder design, for clock rates ranging from 1MHz to 300MHz maintaining proper functionality. The Power consumption of proposed CPTAAL full adder circuit is compared with DPTAAL and DRDAAL full adder circuit designs and shown in the Figure 5.6. From this comparison graph, it is observed that the proposed CPTAAL design of full adder circuit achieves significant power savings as compared with DPTAAL and DRDAAL full adder circuit designs for clock rates ranging from 1MHz to 300MHz. Power Comparison CPTAAL DPTAAL DRDAAL Frequency (MHZ) Figure 5.6 Power comparison of the proposed adders for different frequencies

13 Delay (ns) 82 The CPTAAL full adder circuit delay is compared with DPTAAL and DRDAAL full adder circuit designs and shown in the Figure 5.7. From this comparison graph, it is observed that the proposed DRDAAL design of full adder circuit exhibits less delay as compared with CPTAAL and DPTAAL full adder circuit designs for the various clock rates. CPTAAL DPTAAL DRDAAL Frequency (MHZ) Figure 5.7 Delay comparison of the proposed adders for different frequencies The power delay product of CPTAAL full adder circuit is compared with DPTAAL and DRDAAL full adder designs and shown in the Figure 5.8. The obtained PDP of this full adder is specified in μw-ns. From this comparison graph, it is observed that the proposed CPTAAL design of full adder circuit exhibits better PDP than the DPTAAL and DRDAAL designs for clock rates ranging from 1MHz to 300MHz.

14 PDP (µw-ns) 83 CPTAAL DPTAAL DRDAAL Frequency (MHZ) Figure 5.8 PDP comparison of the proposed adders for different frequencies A 4x4 and 8x8 multiplier circuits using CPTAAL full adder are designed and simulated, which exhibit low power and reliable logical operations for the various clock rates. For the various frequency ranges, the average power consumed of the proposed CPTAAL multiplier is obtained and compared with the other proposed designs, reported in Table 5.3. The proposed CPTAAL multiplier design achieves significant power savings than DPTAAL and DRDAAL multiplier designs.

15 84 Table 5.3 Power consumption of CPTAAL, DPTAAL and DRDAAL multipliers No. of Bits Frequency 1 MHZ 10 MHZ 100 MHZ 200 MHZ 300 MHZ CPTAAL (µw) 4 bit bit DPTAAL (µw) 4 bit bit DRDAAL (µw) 4 bit bit The power comparison graph for 4x4 and 8x8 CPTAAL, DRDAAL and DPTAAL multiplier is shown in Figure 5.9. The Power consumption of this multiplier is specified in micro-watts (μw). From this comparison graph, it is observed that the proposed CPTAAL design of multiplier circuits achieves significant power savings for clock rates ranging from 100MHz to 300MHz.

16 Power (µw) 85 4 bit CPTAAL 4 bit DPTAAL 4 bit DRDAAL 8 bit CPTAAL 8 bit DPTAAL 8 bit DRDAAL Frequency (MHZ) Figure 5.9 Power consumption of proposed multipliers for different frequencies 5.5 QUALITATIVE COMPARISION OF LOGIC DESIGNS The qualitative comparison of four logic designs CMOS, CPL, DPL and DRDL which influences circuit performance and power consumption are given in Table 5.4. In particular, the number of MOS logic networks, the output driving capabilities, the presence of input/output decoupling, the number of signal rails, and the robustness with respect to voltage scaling for the logic styles are discussed. In CMOS, Logic gates are built from an NMOS pulldown and a PMOS pull-up logic network. A key deprivation of CMOS is the relatively weak output driving capability due to series transistors in the output stage.

17 86 CPL gate consists of two NMOS logic networks (one for each signal rail), two small pull-up PMOS transistors for swing restoration, and two output inverters for the complementary output signals. The good output driving capability is achieved due to the output inverters in CPL. The main concept behind CPL is the use of an NMOS passtransistor network for logic organization, which results in low input capacitance and high speed operation. In DPL, the robustness with respect to voltage scaling is high, which improves circuit performance at reduced supply ranges. Its symmetrical arrangement and double transmission characteristics compensate for the speed degradation arising from the use of PMOS and NMOS pass transistors. In DRDL, the dynamic circuit consists of a pmosfet precharge transistor and an nmosfet evaluation transistor with the clock signal applied to their gate nodes, and an nmosfet logic block which implements the required logic function. DRDL eliminates the spurious transitions and the corresponding power dissipation. This is discussed in Zimmermann & Fichtner (1997). Table 5.4 Qualitative comparison of logic designs Logic Designs No. of MOS Logic Output Driving Capability Input / Output Decoupling Signal Rails Robustness CMOS n+p Medium-Good Yes Single High CPL 2n Good Yes Dual Medium DPL 2n+2p Good Yes Dual High DRDL n+p Good Yes Dual High

18 87 In the proposed designs, the transistor count of the DPTAAL full adder is increased as compared with CPTAAL and DRDAAL designs. Hence a large on-chip area overhead is associated with DPTAAL design. Taking into consideration the gain in energy efficiency and performance, the area overhead is acceptable. The transistor count of the proposed full adders is compared with conventional CMOS and adiabatic full adders. It is given in Table5.5. The circuit area of the proposed full adders is compared. It is given in Table 5.6.The area of this circuit is specified in μm2. Table 5.5 Transistor count comparison of full adder designs Logic Design No. of Transistors CPTAAL 56 DPTAAL 65 DRDAAL 52 PFAL 38 TGAL 60 Conventional CMOS 28 Table 5.6. Area comparison of proposed full adder designs Logic Design Area ( µm 2 ) DRDAAL 46.8 CPTAAL 50.4 DPTAAL 58.5 Based on these proposed AAL designs, it is observed that the CPTAAL approach offers less power consumption in the full adder and multiplier circuits than the other design. The DPTAAL approach offers a

19 88 more reasonable trade-off between power and delay in the full adder circuit. The DRDAAL approach exhibits the lowest delay in the full adder circuit, having a power dissipation penalty greater than the speed improvement. Hence, these three approaches confirm the feasibility of asynchronous adiabatic circuits in low power computing applications and have shown great prospect for the development of power aware systems. 5.6 SUMMARY In this chapter, the proposed design of CPTAAL full adder and multiplier circuits have been discussed. A unique approach, namely Complementary Pass-transistor with Asynchronous Adiabatic Logic (CPTAAL) has been followed in the full adder design. Complementary Passtransistor Logic (CPL) is suitable for complex arithmetic circuits where no compromise on power dissipation is allowed. This technique is combined with Asynchronous Adiabatic Logic (AAL) to obtain the energy saving benefits with improved circuit performance in full adder design. For the various frequency ranges, the average power consumed, delay of the circuit, and Power-Delay Product (PDP) of the proposed CPTAAL full adder is compared with the DRDAAL, DPTAAL, conventional CMOS full adders and the synchronous adiabatic full adder designs namely, Positive Feedback Adiabatic Logic (PFAL) adder, and Transmission Gate based Adiabatic Logic (TGAL) adder. The proposed CPTAAL, DPTAAL and DRDAAL full adders are achieved significant power savings for the various frequency ranges, as compared with existing designs. As compared with the DRDAAL and DPTAAL full adder design, the CPTAAL full adder design is achieved significant power savings up to 93%. This power efficient full adder cell is used in the multiplier design. The performance of this design is analyzed with 4 bit and 8 bit multipliers for

20 89 operating frequencies as low as 1 MHz and as high as 300 MHz. The power results of the proposed multiplier design are reported. The CPTAAL multiplier circuits have been implemented and studied using 0.18-μm TSMC technology file with 1.8V supply voltage and have shown great prospect for the development of power aware systems. This approach confirms the feasibility of asynchronous adiabatic multiplier in low power computing applications.

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

Low Power Multiplier Design Using Complementary Pass-Transistor Asynchronous Adiabatic Logic

Low Power Multiplier Design Using Complementary Pass-Transistor Asynchronous Adiabatic Logic Low Power Multiplier Design Using Complementary Pass-Transistor Asynchronous Adiabatic Logic A.Kishore Kumar 1 Dr.D.Somasundareswari 2 Dr.V.Duraisamy 3 M.Pradeepkumar 4 1 Lecturer-Department of ECE, 3

More information

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection NMOS Transistors in Series/Parallel Connection Topic 6 CMOS Static & Dynamic Logic Gates Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Transistors can be thought

More information

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN Mr. Sunil Jadhav 1, Prof. Sachin Borse 2 1 Student (M.E. Digital Signal Processing), Late G. N. Sapkal College of Engineering, Nashik,jsunile@gmail.com 2 Professor

More information

Implementation of Carry Select Adder using CMOS Full Adder

Implementation of Carry Select Adder using CMOS Full Adder Implementation of Carry Select Adder using CMOS Full Adder Smitashree.Mohapatra Assistant professor,ece department MVSR Engineering College Nadergul,Hyderabad-510501 R. VaibhavKumar PG Scholar, ECE department(es&vlsid)

More information

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications International Journal of Research Studies in Computer Science and Engineering (IJRSCSE) Volume. 1, Issue 5, September 2014, PP 30-42 ISSN 2349-4840 (Print) & ISSN 2349-4859 (Online) www.arcjournals.org

More information

ISSN:

ISSN: 343 Comparison of different design techniques of XOR & AND gate using EDA simulation tool RAZIA SULTANA 1, * JAGANNATH SAMANTA 1 M.TECH-STUDENT, ECE, Haldia Institute of Technology, Haldia, INDIA ECE,

More information

A Literature Survey on Low PDP Adder Circuits

A Literature Survey on Low PDP Adder Circuits Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 12, December 2015,

More information

Design of New Full Swing Low-Power and High- Performance Full Adder for Low-Voltage Designs

Design of New Full Swing Low-Power and High- Performance Full Adder for Low-Voltage Designs International Academic Institute for Science and Technology International Academic Journal of Science and Engineering Vol. 2, No., 201, pp. 29-. ISSN 2-9 International Academic Journal of Science and Engineering

More information

High Performance Low-Power Signed Multiplier

High Performance Low-Power Signed Multiplier High Performance Low-Power Signed Multiplier Amir R. Attarha Mehrdad Nourani VLSI Circuits & Systems Laboratory Department of Electrical and Computer Engineering University of Tehran, IRAN Email: attarha@khorshid.ece.ut.ac.ir

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 5.71 International Journal of Advance Engineering and Research Development Volume 5, Issue 05, May -2018 e-issn (O): 2348-4470 p-issn (P): 2348-6406 COMPARATIVE

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Lecture 16 Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Outline Complementary metal oxide semiconductor (CMOS) Inverting circuit Properties Operating points Propagation delay Power dissipation

More information

An energy efficient full adder cell for low voltage

An energy efficient full adder cell for low voltage An energy efficient full adder cell for low voltage Keivan Navi 1a), Mehrdad Maeen 2, and Omid Hashemipour 1 1 Faculty of Electrical and Computer Engineering of Shahid Beheshti University, GC, Tehran,

More information

Sophisticated design of low power high speed full adder by using SR-CPL and Transmission Gate logic

Sophisticated design of low power high speed full adder by using SR-CPL and Transmission Gate logic Scientific Journal of Impact Factor(SJIF): 3.134 International Journal of Advance Engineering and Research Development Volume 2,Issue 3, March -2015 e-issn(o): 2348-4470 p-issn(p): 2348-6406 Sophisticated

More information

Comparison of adiabatic and Conventional CMOS

Comparison of adiabatic and Conventional CMOS Comparison of adiabatic and Conventional CMOS Gurpreet Kaur M.Tech Scholar(ECE), Narinder Sharma HOD (EEE) Amritsar college of Engineering and Technology, Amritsar Abstract:-The Power dissipation in conventional

More information

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders 12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders Mr.Devanaboina Ramu, M.tech Dept. of Electronics and Communication Engineering Sri Vasavi Institute of

More information

AN EFFICIENT ADIABATIC FULL ADDER DESIGN APPROACH FOR LOW POWER

AN EFFICIENT ADIABATIC FULL ADDER DESIGN APPROACH FOR LOW POWER AN EFFICIENT ADIABATIC FULL ADDER DESIGN APPROACH FOR LOW POWER Baljinder Kaur 1, Narinder Sharma 2, Gurpreet Kaur 3 1 M.Tech Scholar (ECE), 2 HOD (ECE), 3 AP(ECE) ABSTRACT In this paper authors are going

More information

r 2 ISSN Multiplier can large product bits in operation. process for Multiplication In is composed adder carry and of Tree Multiplier

r 2 ISSN Multiplier can large product bits in operation. process for Multiplication In is composed adder carry and of Tree Multiplier Implementation Comparison of Tree Multiplier using Different Circuit Techniques Subhag Yadav, Vipul Bhatnagar, Department of Electronics Communication, Inderprastha Engineering College, UPTU, Ghaziabad,

More information

Comparative Study on CMOS Full Adder Circuits

Comparative Study on CMOS Full Adder Circuits Comparative Study on CMOS Full Adder Circuits Priyanka Rathore and Bhavna Jharia Abstract The Presented paper focuses on the comparison of seven full adders. The comparison is based on the power consumption

More information

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits Objectives In this lecture you will learn the following Ratioed Logic Pass Transistor Logic Dynamic Logic Circuits

More information

Low power high speed hybrid CMOS Full Adder By using sub-micron technology

Low power high speed hybrid CMOS Full Adder By using sub-micron technology Low power high speed hybrid CMOS Full Adder By using sub-micron technology Ch.Naveen Kumar 1 Assistant professor,ece department GURUNANAK institutions technical campus Hyderabad-501506 A.V. Rameshwar Rao

More information

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders B. Madhuri Dr.R. Prabhakar, M.Tech, Ph.D. bmadhusingh16@gmail.com rpr612@gmail.com M.Tech (VLSI&Embedded System Design) Vice

More information

II. Previous Work. III. New 8T Adder Design

II. Previous Work. III. New 8T Adder Design ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: High Performance Circuit Level Design For Multiplier Arun Kumar

More information

High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells

High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells Reza Faghih Mirzaee, Mohammad Hossein Moaiyeri, Keivan Navi Abstract In this paper we present two novel 1-bit full adder cells in dynamic logic

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

Design and Analysis of Energy Recovery Logic for Low Power Circuit Design

Design and Analysis of Energy Recovery Logic for Low Power Circuit Design National onference on Advances in Engineering and Technology RESEARH ARTILE OPEN AESS Design and Analysis of Energy Recovery Logic for Low Power ircuit Design Munish Mittal*, Anil Khatak** *(Department

More information

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style International Journal of Advancements in Research & Technology, Volume 1, Issue3, August-2012 1 Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style Vishal Sharma #, Jitendra Kaushal Srivastava

More information

Implementation of Low Power Inverter using Adiabatic Logic

Implementation of Low Power Inverter using Adiabatic Logic Implementation of Low Power Inverter using Adiabatic Logic Pragati Upadhyay 1, Vishal Moyal 2 M.E. [VLSI Design], Dept. of ECE, SSGI SSTC (FET), Bhilai, Chhattisgarh, India 1 Associate Professor, Dept.

More information

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 Asst. Professsor, Anurag group of institutions 2,3,4 UG scholar,

More information

Design Analysis of 1-bit Comparator using 45nm Technology

Design Analysis of 1-bit Comparator using 45nm Technology Design Analysis of 1-bit Comparator using 45nm Technology Pardeep Sharma 1, Rajesh Mehra 2 1,2 Department of Electronics and Communication Engineering, National Institute for Technical Teachers Training

More information

Comparative Analysis of Adiabatic Logic Techniques

Comparative Analysis of Adiabatic Logic Techniques Comparative Analysis of Adiabatic Logic Techniques Bhakti Patel Student, Department of Electronics and Telecommunication, Mumbai University Vile Parle (west), Mumbai, India ABSTRACT Power Consumption being

More information

Performance Analysis of Different Adiabatic Logic Families

Performance Analysis of Different Adiabatic Logic Families Performance Analysis of Different Adiabatic Logic Families 1 Anitha.K, 2 Dr.Meena Srinivasan 1 PG Scholar, 2 Associate Professor Electronics and Communication Engineering Government College of Technology,

More information

DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC

DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC 1 S.Varalakshmi, 2 M. Rajmohan, M.Tech, 3 P. Pandiaraj, M.Tech 1 M.Tech Department of ECE, 2, 3 Asst.Professor, Department of ECE, 1,

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS

CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS 87 CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS 6.1 INTRODUCTION In this approach, the four types of full adders conventional, 16T, 14T and 10T have been analyzed in terms of

More information

A Comparative Study of Dynamic Latch Comparator

A Comparative Study of Dynamic Latch Comparator A Comparative Study of Dynamic Latch Comparator Sandeep K. Arya, Neelkamal Department of Electronics & Communication Engineering Guru Jambheshwar University of Science & Technology, Hisar, India (125001)

More information

International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July ISSN

International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July ISSN International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July-2015 636 Low Power Consumption exemplified using XOR Gate via different logic styles Harshita Mittal, Shubham Budhiraja

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

STATIC cmos circuits are used for the vast majority of logic

STATIC cmos circuits are used for the vast majority of logic 176 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 64, NO. 2, FEBRUARY 2017 Design of Low-Power High-Performance 2 4 and 4 16 Mixed-Logic Line Decoders Dimitrios Balobas and Nikos Konofaos

More information

Implementation of Efficient 5:3 & 7:3 Compressors for High Speed and Low-Power Operations

Implementation of Efficient 5:3 & 7:3 Compressors for High Speed and Low-Power Operations Volume-7, Issue-3, May-June 2017 International Journal of Engineering and Management Research Page Number: 42-47 Implementation of Efficient 5:3 & 7:3 Compressors for High Speed and Low-Power Operations

More information

Energy Efficient Design of Logic Circuits Using Adiabatic Process

Energy Efficient Design of Logic Circuits Using Adiabatic Process Energy Efficient Design of Logic Circuits Using Adiabatic Process E. Chitra 1,N. Hemavathi 2, Vinod Ganesan 3 1 Dept. of ECE,SRM University, Chennai, India, chitra.e@ktr.srmuniv.ac.in 2 Dept. of ECE, SRM

More information

Chapter 3 DESIGN OF ADIABATIC CIRCUIT. 3.1 Introduction

Chapter 3 DESIGN OF ADIABATIC CIRCUIT. 3.1 Introduction Chapter 3 DESIGN OF ADIABATIC CIRCUIT 3.1 Introduction The details of the initial experimental work carried out to understand the energy recovery adiabatic principle are presented in this section. This

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES 41 In this chapter, performance characteristics of a two input NAND gate using existing subthreshold leakage

More information

DESIGN OF ADIABATIC LOGIC BASED COMPARATOR FOR LOW POWER AND HIGH SPEED APPLICATIONS

DESIGN OF ADIABATIC LOGIC BASED COMPARATOR FOR LOW POWER AND HIGH SPEED APPLICATIONS DOI: 10.21917/ijme.2017.064 DESIGN OF ADIABATIC LOGIC FOR LOW POWER AND HIGH SPEED APPLICATIONS T.S. Arun Samuel 1, S. Darwin 2 and N. Arumugam 3 1,3 Department of Electronics and Communication Engineering,

More information

Design of Energy Efficient Logic Using Adiabatic Technique

Design of Energy Efficient Logic Using Adiabatic Technique Design of Energy Efficient Logic Using Adiabatic Technique K B V Babu, B I Neelgar (M.Tech-VLSI), Professor, Department of ECE GMR institute of Technology Rajam, INDIA bvbabu.411@gmail.com Abstract- :

More information

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A new 6-T multiplexer based full-adder for low power and leakage current optimization A new 6-T multiplexer based full-adder for low power and leakage current optimization G. Ramana Murthy a), C. Senthilpari, P. Velrajkumar, and T. S. Lim Faculty of Engineering and Technology, Multimedia

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS SURVEY ND EVLUTION OF LOW-POWER FULL-DDER CELLS hmed Sayed and Hussain l-saad Department of Electrical & Computer Engineering University of California Davis, C, U.S.. STRCT In this paper, we survey various

More information

Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for Low Power Design

Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for Low Power Design IOSR Journal of Engineering (IOSRJEN) e-issn: 2250-3021, p-issn: 2278-8719 Vol. 3, Issue 6 (June. 2013), V1 PP 14-21 Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for

More information

Analysis and Design of High Speed Low Power Comparator in ADC

Analysis and Design of High Speed Low Power Comparator in ADC Analysis and Design of High Speed Low Power Comparator in ADC Yogesh Kumar M. Tech DCRUST (Sonipat) ABSTRACT: The fast growing electronics industry is pushing towards high speed low power analog to digital

More information

Design and Analysis of Energy Efficient MOS Digital Library Cell Based on Charge Recovery Logic

Design and Analysis of Energy Efficient MOS Digital Library Cell Based on Charge Recovery Logic ISSN (e): 2250 3005 Volume, 08 Issue, 9 Sepetember 2018 International Journal of Computational Engineering Research (IJCER) Design and Analysis of Energy Efficient MOS Digital Library Cell Based on Charge

More information

UNIT-III GATE LEVEL DESIGN

UNIT-III GATE LEVEL DESIGN UNIT-III GATE LEVEL DESIGN LOGIC GATES AND OTHER COMPLEX GATES: Invert(nmos, cmos, Bicmos) NAND Gate(nmos, cmos, Bicmos) NOR Gate(nmos, cmos, Bicmos) The module (integrated circuit) is implemented in terms

More information

Design of 32-bit ALU using Low Power Energy Efficient Full Adder Circuits

Design of 32-bit ALU using Low Power Energy Efficient Full Adder Circuits Design of 32-bit ALU using Low Power Energy Efficient Full Adder Circuits Priyadarshini.V Department of ECE Gudlavalleru Engieering College,Gudlavalleru darshiniv708@gmail.com Ramya.P Department of ECE

More information

ECE 471/571 Combinatorial Circuits Lecture-7. Gurjeet Singh

ECE 471/571 Combinatorial Circuits Lecture-7. Gurjeet Singh ECE 471/571 Combinatorial Circuits Lecture-7 Gurjeet Singh Propagation Delay of CMOS Gates Propagation delay of Four input NAND Gate Disadvantages of Complementary CMOS Design Increase in complexity Larger

More information

Low-Power High-Speed Double Gate 1-bit Full Adder Cell

Low-Power High-Speed Double Gate 1-bit Full Adder Cell INTL JOURNAL OF ELECTRONICS AND TELECOMMUNICATIONS, 2016, VOL. 62, NO. 4, PP. 329-334 Manuscript received October 15, 2016; revised November, 2016. DOI: 10.1515/eletel-2016-0045 Low-Power High-Speed Double

More information

Design of Low Power Double Tail Comparator by Adding Switching Transistors

Design of Low Power Double Tail Comparator by Adding Switching Transistors Design of Low Power Double Tail Comparator by Adding Switching Transistors K.Mathumathi (1), S.Selvarasu (2), T.Kowsalya (3) [1] PG Scholar[VLSI, Muthayammal Engineering College, Rasipuram, Namakkal, Tamilnadu,

More information

Pardeep Kumar, Susmita Mishra, Amrita Singh

Pardeep Kumar, Susmita Mishra, Amrita Singh Study of Existing Full Adders and To Design a LPFA (Low Power Full Adder) Pardeep Kumar, Susmita Mishra, Amrita Singh 1 Department of ECE, B.M.S.E.C, Muktsar, 2,3 Asstt. Professor, B.M.S.E.C, Muktsar Abstract

More information

Reduced Swing Domino Techniques for Low Power and High Performance Arithmetic Circuits

Reduced Swing Domino Techniques for Low Power and High Performance Arithmetic Circuits Reduced Swing Domino Techniques for Low Power and High Performance Arithmetic Circuits by Shahrzad Naraghi A thesis presented to the University of Waterloo in fulfillment of the thesis requirement for

More information

Comparative Analysis of Low Power Adiabatic Logic Circuits in DSM Technology

Comparative Analysis of Low Power Adiabatic Logic Circuits in DSM Technology Comparative Analysis of Low Power Adiabatic Logic Circuits in DSM Technology Shaefali Dixit #1, Ashish Raghuwanshi #2, # PG Student [VLSI], Dept. of ECE, IES college of Eng. Bhopal, RGPV Bhopal, M.P. dia

More information

PERFORMANCE ANALYSIS OF ADIABATIC TECHNIQUES USING FULL ADDER FOR EFFICIENT POWER DISSIPATION

PERFORMANCE ANALYSIS OF ADIABATIC TECHNIQUES USING FULL ADDER FOR EFFICIENT POWER DISSIPATION DOI: 10.21917/ijme.2018.0090 PERFORMANCE ANALYSIS OF ADIABATIC TECHNIQUES USING FULL ADDER FOR EFFICIENT POWER DISSIPATION C. Venkatesh, A. Mohanapriya and R. Sudha Anandhi Department of Electronics and

More information

International Journal of Advanced Research in Biology Engineering Science and Technology (IJARBEST)

International Journal of Advanced Research in Biology Engineering Science and Technology (IJARBEST) Abstract NEW HIGH PERFORMANCE 4 BIT PARALLEL ADDER USING DOMINO LOGIC Department Of Electronics and Communication Engineering UG Scholar, SNS College of Engineering Bhuvaneswari.N [1], Hemalatha.V [2],

More information

the cascading of two stages in CMOS domino logic[7,8]. The operating period of a cell when its input clock and output are low is called the precharge

the cascading of two stages in CMOS domino logic[7,8]. The operating period of a cell when its input clock and output are low is called the precharge 1.5v,.18u Area Efficient 32 Bit Adder using 4T XOR and Modified Manchester Carry Chain Ajith Ravindran FACTS ELCi Electronics and Communication Engineering Saintgits College of Engineering, Kottayam Kerala,

More information

Low power 18T pass transistor logic ripple carry adder

Low power 18T pass transistor logic ripple carry adder LETTER IEICE Electronics Express, Vol.12, No.6, 1 12 Low power 18T pass transistor logic ripple carry adder Veeraiyah Thangasamy 1, Noor Ain Kamsani 1a), Mohd Nizar Hamidon 1, Shaiful Jahari Hashim 1,

More information

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 6 Combinational CMOS Circuit and Logic Design Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Advanced Reliable Systems (ARES) Lab. Jin-Fu Li,

More information

Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit

Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit Available online www.ejaet.com European Journal of Advances in Engineering and Technology, 2017, 4 (5): 319-325 Research Article ISSN: 2394-658X Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit

More information

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits In this lab, we will be looking at ac signals with MOSFET circuits and digital electronics. The experiments will be performed

More information

Performance Comparison of High-Speed Adders Using 180nm Technology

Performance Comparison of High-Speed Adders Using 180nm Technology Steena Maria Thomas et al. 2016, Volume 4 Issue 2 ISSN (Online): 2348-4098 ISSN (Print): 2395-4752 International Journal of Science, Engineering and Technology An Open Access Journal Performance Comparison

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

ECE 471/571 The CMOS Inverter Lecture-6. Gurjeet Singh

ECE 471/571 The CMOS Inverter Lecture-6. Gurjeet Singh ECE 471/571 The CMOS Inverter Lecture-6 Gurjeet Singh NMOS-to-PMOS ratio,pmos are made β times larger than NMOS Sizing Inverters for Performance Conclusions: Intrinsic delay tp0 is independent of sizing

More information

Design and Analysis of Multiplexer in Different Low Power Techniques

Design and Analysis of Multiplexer in Different Low Power Techniques Design and Analysis of Multiplexer in Different Low Power Techniques S Prashanth 1, Prashant K Shah 2 M.Tech Student, Department of ECE, SVNIT, Surat, India 1 Associate Professor, Department of ECE, SVNIT,

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Voltage IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Sunil

More information

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates Anil Kumar 1 Kuldeep Singh 2 Student Assistant Professor Department of Electronics and Communication Engineering Guru Jambheshwar

More information

Analysis and Design of High Speed Low Power Comparator in ADC

Analysis and Design of High Speed Low Power Comparator in ADC Analysis and Design of High Speed Low Power Comparator in ADC 1 Abhishek Rai, 2 B Ananda Venkatesan 1 M.Tech Scholar, 2 Assistant professor Dept. of ECE, SRM University, Chennai 1 Abhishekfan1791@gmail.com,

More information

2-Bit Magnitude Comparator Design Using Different Logic Styles

2-Bit Magnitude Comparator Design Using Different Logic Styles International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 Volume 2 Issue 1 ǁ January. 2013 ǁ PP.13-24 2-Bit Magnitude Comparator Design Using Different Logic

More information

A Novel Approach for High Speed and Low Power 4-Bit Multiplier

A Novel Approach for High Speed and Low Power 4-Bit Multiplier IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 3 (Nov. - Dec. 2012), PP 13-26 A Novel Approach for High Speed and Low Power 4-Bit Multiplier

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

Combinational Logic Gates in CMOS

Combinational Logic Gates in CMOS Combinational Logic Gates in CMOS References: dapted from: Digital Integrated Circuits: Design Perspective, J. Rabaey UC Principles of CMOS VLSI Design: Systems Perspective, 2nd Ed., N. H. E. Weste and

More information

A New High Speed - Low Power 12 Transistor Full Adder Design with GDI Technique

A New High Speed - Low Power 12 Transistor Full Adder Design with GDI Technique International Journal of Scientific & Engineering Research Volume 3, Issue 7, July-2012 1 A New High Speed - Low Power 12 Transistor Full Design with GDI Technique Shahid Jaman, Nahian Chowdhury, Aasim

More information

Adiabatic Logic Circuits for Low Power, High Speed Applications

Adiabatic Logic Circuits for Low Power, High Speed Applications IJSTE - International Journal of Science Technology & Engineering Volume 3 Issue 10 April 2017 ISSN (online): 2349-784X Adiabatic Logic Circuits for Low Power, High Speed Applications Satyendra Kumar Ram

More information

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm EE241 - Spring 2011 dvanced Digital Integrated Circuits Lecture 20: High-Performance Logic Styles nnouncements Quiz #3 today Homework #4 posted This lecture until 4pm Reading: Chapter 8 in the owhill text

More information

A Novel Low Power, High Speed 14 Transistor CMOS Full Adder Cell with 50% Improvement in Threshold Loss Problem

A Novel Low Power, High Speed 14 Transistor CMOS Full Adder Cell with 50% Improvement in Threshold Loss Problem A Novel Low Power, High Speed 4 Transistor CMOS Full Adder Cell with 5% Improvement in Threshold Loss Problem T. Vigneswaran, B. Mukundhan, and P. Subbarami Reddy Abstract Full adders are important components

More information

LOW POWER CMOS CELL STRUCTURES BASED ON ADIABATIC SWITCHING

LOW POWER CMOS CELL STRUCTURES BASED ON ADIABATIC SWITCHING LOW POWER CMOS CELL STRUCTURES BASED ON ADIABATIC SWITCHING Uday Kumar Rajak Electronics & Telecommunication Dept. Columbia Institute of Engineering and Technology,Raipur (India) ABSTRACT The dynamic power

More information

Design of Low Power Energy Efficient CMOS Circuits with Adiabatic Logic

Design of Low Power Energy Efficient CMOS Circuits with Adiabatic Logic Design of Low Power Energy Efficient CMOS Circuits with Adiabatic Logic Aneesha John 1, Charishma 2 PG student, Department of ECE, NMAMIT, Nitte, Karnataka, India 1 Assistant Professor, Department of ECE,

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 12, DECEMBER 2006 1309 Design of Robust, Energy-Efficient Full Adders for Deep-Submicrometer Design Using Hybrid-CMOS Logic

More information

Design of Modified Shannon Based Full Adder Cell Using PTL Logic for Low Power Applications

Design of Modified Shannon Based Full Adder Cell Using PTL Logic for Low Power Applications Design of Modified Shannon Based Full Adder Cell Using PTL Logic for Low Power Applications K.Purnima #1, S.AdiLakshmi #2, M.Sahithi #3, A.Jhansi Rani #4,J.Poornima #5 #1 M.Tech student, Department of

More information

Implementation of High Performance Carry Save Adder Using Domino Logic

Implementation of High Performance Carry Save Adder Using Domino Logic Page 136 Implementation of High Performance Carry Save Adder Using Domino Logic T.Jayasimha 1, Daka Lakshmi 2, M.Gokula Lakshmi 3, S.Kiruthiga 4 and K.Kaviya 5 1 Assistant Professor, Department of ECE,

More information

Analysis of Different Topologies of Inverter in 0.18µm CMOS Technology and its Comparision

Analysis of Different Topologies of Inverter in 0.18µm CMOS Technology and its Comparision Analysis of Different Topologies of Inverter in 0.18µm CMOS Technology and its Comparision Ashish Panchal (Senior Lecturer) Electronics & Instrumentation Engg. Department, Shri G.S.Institute of Technology

More information

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop Indian Journal of Science and Technology, Vol 8(7), 622 628, April 2015 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 DOI: 10.17485/ijst/2015/v8i7/62847 A High Performance Asynchronous Counter using

More information

Adiabatic Logic Circuits: A Retrospect

Adiabatic Logic Circuits: A Retrospect MIT International Journal of Electronics and Communication Engineering, Vol. 3, No. 2, August 2013, pp. 108 114 108 Adiabatic Logic Circuits: A Retrospect Deepti Shinghal Department of E & C Engg., M.I.T.

More information

Full Adder Circuits using Static Cmos Logic Style: A Review

Full Adder Circuits using Static Cmos Logic Style: A Review Full Adder Circuits using Static Cmos Logic Style: A Review Sugandha Chauhan M.E. Scholar Department of Electronics and Communication Chandigarh University Gharuan,Punjab,India Tripti Sharma Professor

More information

IMPLEMENTATION OF ADIABATIC DYNAMIC LOGIC IN BIT FULL ADDER

IMPLEMENTATION OF ADIABATIC DYNAMIC LOGIC IN BIT FULL ADDER Technology and Innovation for Sustainable Development Conference (TISD2006) Faculty of Engineering, Khon Kaen University, Thailand 25-26 January 2006 IMPLEMENTATION OF ADIABATIC DYNAMIC LOGIC IN BIT FULL

More information

Domino Static Gates Final Design Report

Domino Static Gates Final Design Report Domino Static Gates Final Design Report Krishna Santhanam bstract Static circuit gates are the standard circuit devices used to build the major parts of digital circuits. Dynamic gates, such as domino

More information

International Journal Of Global Innovations -Vol.5, Issue.I Paper Id: SP-V5-I1-P04 ISSN Online:

International Journal Of Global Innovations -Vol.5, Issue.I Paper Id: SP-V5-I1-P04 ISSN Online: DESIGN AND ANALYSIS OF MULTIPLEXER AND DE- MULTIPLEXERIN DIFFERENT LOW POWER TECHNIQUES #1 KARANAMGOWTHAM, M.Tech Student, #2 AMIT PRAKASH, Associate Professor, Department Of ECE, ECED, NIT, JAMSHEDPUR,

More information

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) CSE 493/593 Test 2 Fall 2011 Solution 1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) Decreasing of W to make the gate slower,

More information

Power Improvement in 64-Bit Full Adder Using Embedded Technologies Er. Arun Gandhi 1, Dr. Rahul Malhotra 2, Er. Kulbhushan Singla 3

Power Improvement in 64-Bit Full Adder Using Embedded Technologies Er. Arun Gandhi 1, Dr. Rahul Malhotra 2, Er. Kulbhushan Singla 3 Power Iproveent in 64-Bit Full Adder Using Ebedded Technologies Er. Arun Gandhi 1, Dr. Rahul Malhotra 2, Er. Kulbhushan Singla 3 1 Departent of ECE, GTBKIET, Chhapianwali Malout, Punjab 2 Director, Principal,

More information

LOW POWER-AREA DESIGN OF FULL ADDER USING SELF RESETTING LOGIC WITH GDI TECHNIQUE

LOW POWER-AREA DESIGN OF FULL ADDER USING SELF RESETTING LOGIC WITH GDI TECHNIQUE LOW POWER-AREA DESIGN OF FULL ADDER USING SELF RESETTING LOGIC WITH GDI TECHNIQUE ABSTRACT Simran Khokha 1 and K.Rahul Reddy 2 1 ARSD College, Department of Electronics Science, University Of Delhi, New

More information

Electronics Basic CMOS digital circuits

Electronics Basic CMOS digital circuits Electronics Basic CMOS digital circuits Prof. Márta Rencz, Gábor Takács, Dr. György Bognár, Dr. Péter G. Szabó BME DED October 21, 2014 1 / 30 Introduction The topics covered today: The inverter: the simplest

More information