Circuit Design for a 2.2 GByte/s Memory Interface

Size: px
Start display at page:

Download "Circuit Design for a 2.2 GByte/s Memory Interface"

Transcription

1 Circuit Design for a 2.2 GByte/s Memory Interface Stefanos Sidiropoulos Work done at Rambus Inc with A. Abhyankar, C. Chen, K. Chang, TJ Chin, N. Hays, J. Kim, Y. Li, G. Tsang, A. Wong, D. Stark

2 Increasing Chip I/O Bandwidth Computers: Main memory: SDRAM100 (100 Mbps) RDRAM ( Gbps) Peripherals: PCI (66 Mbps) Infiniband (2.5 Gbps) Networks: Physical Front End: LAN: Fast-Eth (100 Mbps) Gigabit-Eth (1Gbps) WAN: OC-12 (625 Mbps) OC-48 (2.4 Gbps) Switch Fabric: 625 Mbps 2.5 Gbps

3 Outline Overview Timing Methods Signaling Methods Timing Circuits Signaling Circuits Results

4 Main Issues Tx Channel PCB, Coax, Fiber Rx Drive and capture signals at the correct time Bit times are as small as 2-3 gate delays Send and receive signals robustly Noise is a large fraction of the signal < 400-mV < 1-ns

5 Timing Architectures Synchronous: Same frequency and phase Conventional busses Conventional Memories t t F 0 Mesochronous: Same frequency, unknown phase Fast memories/busses t A t B MP networks t A t B F 0 Interconnection networks Plesiochronous: Almost the same frequency Network front-end Router core F 1 F 2 F 1 F 2

6 Synchronous Systems CK X PLL/DLL CK C CK X D I on-chip logic D I CK C On-chip clock is a multiple of system clock: Synthesize on-chip clock frequency On-chip clock phase varies: Cancel clock buffer delay

7 Mesochronous Systems CK SRC PLL/DLL CK RCV data rcvr logic ref CK SRC data D 0 D 1 D 2 D 3 CK RCV Position on-chip sampling clock at the optimal point i.e. maximize timing margin

8 Plesiochronous Systems CK R rcvr logic D IN D 0 D 1 D IN CRC CK R Recover incoming data fundamental frequency Position sampling clock at the optimal point

9 Signaling Send and receive the data impaired by noise: Independent noise sources: Thermal and uncorrelated system noise Proportional noise sources: Reflections, cross-talk, signal-return noise Low Impedance High Impedance V S Single Ended V S /2 + - shared d ref + - Differential + - d d + -

10 Outline Background Timing Circuits Signaling Circuits Results

11 Rambus Memory Channel M 1 M 2 M 16 M 1 M 2 M Controller CTM Clk Gen CFM 1.6-GB/s (800 Mbps/pin): Current mode signaling Source synchronous clocking D0 D1 D2

12 Increasing System Performance Increase transfer rate: System Clock: MHz ( Mbps/pin) Peak Bandwidth: GB/s Challenges: Timing Margin Device Variations Channel Imperfections Voltage Errors Bus Hand-off

13 Prototype DRAM Interface Chip Technology: 0.25-µm, 2.5-V CMOS Supply: 1.8-V Active Area: 11.2 x 1.3 mm 2 Package: LGA, µbga Chip Includes: T/R DLL 2-Data bytes, 1-Address byte Packet Protocol Logic 18 KB SRAM

14 Outline Background Timing Circuits Requirements Architecture Timing Error Sources Signaling Circuits Results

15 RDRAM Timing Circuit Requirements DLL T CLK R CLK R CLK T CLK R CLK DQ A CTM CFM RQ DQ B CTM CFM DQ D 0 D 1 D 2 D 3 DQ/RQ D 0 D 1 D 2 D 3 T CLK R CLK

16 PLLs vs DLLs VCO VCDL clk clk ref clk N PD ref clk PD Filter Filter Second/third order loop: First order loop: Stability is an issue Stability guaranteed Frequency synthesis easy Frequency synthesis problematic Ref. Clk jitter gets filtered Ref. Clk jitter propagates Phase error accumulates Phase error does not accumulate

17 Supply Noise: DLL vs PLL 6-stage DLL vs 6-stage PLL 0.) g e (d r r o e e s a h p DLL-pk PLL-pk DLL PLLBW 20MHz PLLBW 5MHz time (ns) * Supply sensitivity: 0.1%-delay/%-supply/element No need for clock multiplication use a DLL

18 Conventional DLL ref clk clk PD Limited phase acquisition range Generate delay by using phase interpolation

19 Variable Phase Interpolation φ φ φ ψ Θ ψ ψ w = 0..N Θ = ( N w) φ + N w ψ φ 1 If φ, ψ selectively span 2π: ψ 1 ψ 0 Can generate any Θ φ 2 φ 0 φ, ψ can be generated by a DLL ψ 2 ψ 3 φ 3

20 RDRAM Delay Buffers [Maneatis 93] V CP V CTL Bias Circuit V CN [Hu 92] Use differential elements with replica biasing: Increased noise immunity Not easily portable Require larger supply head-room but ok for 1.8-V

21 Interpolator Design V CP V CN 5 DAC + - Interpolator bias and input/output time constant scales TDC remains linear over large frequency range

22 Dual DLL Block Diagram PD/CP/Bias Input Clock Amp CORE Amp FSM PERIPHERAL up/dn PD Ref Clock

23 Device Timing Variations 25 Receive Window Distribution 20 # parts Receive-valid Window Center (ps) 100 parts: µ 30-ps, σ 20-ps

24 Propagation Delay Mismatch φ DRAM Module Discontinuity v( t) = A [sin( ω t ) + r sin( ω t 2ϕ )] v( t) = A' sin( ω t + θ ) Clock and data channels different Clock and data spectral components different Propagation delays can differ by ~ 100-ps Regain margin: every DRAM transmit/receive timing must be offset from its lock point A θ A ra 2φ

25 Original Dual-DLL PD/CP/Bias Input Clock Amp Amp Mux+Interpolator Decoder 8 Counter FSM up/dn FB Clock PD Main Clock Ref Clock to I/O

26 DLL for in-system Calibration PD/CP/Bias Input Clock Amp Amp Decoder Mux+Interpolator Mux+Interpolator (_2) Adder Decoder 8 8 Offset[7:0] time) Counter up/dn FB Clock PD Main Clock Ref Clock to I/O

27 Outline Background Timing Circuits Signaling Circuits Bus Environment Challenges Output Subsystem Design Results

28 Back-to-Back Reads V term Contr. t 1 t 2 V term Mem 1 Mem 2 Controller t 1 + t 2 2 t 2 V term -V sw Mem 2 2 t 2 V term -1.5V sw Compliance voltage for M 2 as low as 0.5-V

29 Output Driver Subsystem Driver Bias Voltage Generator V GREF - + V GATE CC[6:0] EN V G [6:0] _7 DQ 0 7 DQ 1 7 DQ 8 Q 0 Q 1 Q 8 _7 _7 _7

30 Driver Bias Voltage Generator I C I R V GREF I R R R >V T Constant gate overdrive: Increase noise immunity Constant saturation margin over PVT

31 Driver IV Characteristics Iout (ma) TT SS FF Vpad (V)

32 Output Driver Model -A v O v G g m2 g m r o i out = gm vg + vo / ro A gm2 v o Negative resistance compensates for finite r o

33 Output Driver Schematic SL[1:0] M 7 [1:0] M 6 [1:0] D Q V G [6:0] M 2 [6:0] M 5 Q M 1 [6:0] M 3 M 4 M6-M7 control maximum feedback current M3/M4 ratio constrained to minimize time constant

34 Driver IV Characteristics Iout (ma) TT SS FF Vpad (V)

35 Outline Introduction Timing Signaling Results

36 Operating Range 2.75 T BIT (nsec) 1.8-V 1.1 Gbps/pin V DD (Volts)

37 Measured DLL Jitter < 100-ps peak-peak with interface and core active

38 Uncalibrated Output Data-valid Window 2.5 V DD (Volts) 1-V 760-ps t (ns) 1.0 T BIT = 900-ps, T OFFS = default T Q offset ~ 150-ps

39 Calibrated Output Data-valid Window 2.5 V DD (Volts) 1-V 780-ps t (ns) 1.0 T BIT = 900-ps, calibrated T OFFS T Q offset < 20-ps

40 Measured Calibration Accuracy offset (degrees) MHz 533 MHz code # DNL, INL < 2-LSB

41 RDRAM Power Modes DLL must go into low-power nap mode IVDD < 4-mA Restore clock phase within 80-ns Digital peripheral loop logic naturally holds state Hold state of core loop on 25-pF charge-pump capacitor

42 Measured Driver I-V Characteristics Iout (ma) FB off FB on Vpad (V)

43 Summary Increasing memory interface bandwidth: Minimize both voltage and timing errors: Voltage errors are systematic Compensated with new driver design Timing Errors are unpredictable Compensated with in-system calibration Expect to see more digital calibration in high speed links: Challenge is minimize overhead: Area, Power, Yield.. System bring-up and ease of use..

Overview of System Interfaces

Overview of System Interfaces Overview of System Interfaces Stefanos Sidiropoulos Aeluros Inc (1) System Bandwidth Growth Corollary of: IO Bandwidth tracks gate count Rent s rule: E.F. Rent ca. 1960 IC Integration (and hopefully performance)

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

Lecture 3. FIR Design and Decision Feedback Equalization

Lecture 3. FIR Design and Decision Feedback Equalization Lecture 3 FIR Design and Decision Feedback Equalization Mark Horowitz Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2007 by Mark Horowitz, with material from Stefanos

More information

Lecture 3. FIR Design and Decision Feedback Equalization

Lecture 3. FIR Design and Decision Feedback Equalization Lecture 3 FIR Design and Decision Feedback Equalization Mark Horowitz Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2007 by Mark Horowitz, with material from Stefanos

More information

Lecture 15: Clock Recovery

Lecture 15: Clock Recovery Lecture 15: Clock Recovery Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2001 by Mark Horowitz 1 Overview Reading Chapter 19 - High Speed Link Design, by Ken Yang, Stefanos

More information

Lecture 15: Clock Recovery

Lecture 15: Clock Recovery Lecture 15: Clock Recovery Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2001 by Mark Horowitz 1 Overview Reading Chapter 19 - High Speed Link Design, by Ken Yang, Stefanos

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 12: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report #2 due Apr. 20 Expand

More information

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters Jefferson A. Hora, Vincent Alan Heramiz,

More information

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas Self-Biased PLL/DLL ECG721 60-minute Final Project Presentation Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas Outline Motivation Self-Biasing Technique Differential Buffer

More information

Lecture 15: Transmitter and Receiver Design

Lecture 15: Transmitter and Receiver Design Lecture 15: Transmitter and Receiver Design Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2000 by Mark Horowitz EE371 Lecture 15-1 Horowitz Outline System Architectures

More information

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements EE290C - Spring 04 Advanced Topics in Circuit Design High-Speed Electrical Interfaces Lecture 11 Components Phase-Locked Loops Viterbi Decoder Borivoje Nikolic March 2, 04. Announcements Homework #2 due

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN620: Network Theory Broadband Circuit Design Fall 2012 Lecture 20: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 2 is on Friday Nov. 9 One double-sided 8.5x11

More information

INF4420 Phase locked loops

INF4420 Phase locked loops INF4420 Phase locked loops Spring 2012 Jørgen Andreas Michaelsen (jorgenam@ifi.uio.no) Outline "Linear" PLLs Linear analysis (phase domain) Charge pump PLLs Delay locked loops (DLLs) Applications Introduction

More information

Self Biased PLL/DLL. ECG 721 Memory Circuit Design (Spring 2017) Dane Gentry 4/17/17

Self Biased PLL/DLL. ECG 721 Memory Circuit Design (Spring 2017) Dane Gentry 4/17/17 Self Biased PLL/DLL ECG 721 Memory Circuit Design (Spring 2017) Dane Gentry 4/17/17 1 Jitter Self Biased PLL/DLL Differential Buffer Delay Fig. 19.57 Bias Generator Self Biased DLL Input/Output p Delay

More information

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck Synchronous Mirror Delays ECG 721 Memory Circuit Design Kevin Buck 11/25/2015 Introduction A synchronous mirror delay (SMD) is a type of clock generation circuit Unlike DLLs and PLLs an SMD is an open

More information

ECEN 620: Network Theory Broadband Circuit Design Fall 2012

ECEN 620: Network Theory Broadband Circuit Design Fall 2012 ECEN 620: Network Theory Broadband Circuit Design Fall 2012 Lecture 23: High-Speed I/O Overview Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 3 is postponed to Dec. 11

More information

Introduction to CMOS RF Integrated Circuits Design

Introduction to CMOS RF Integrated Circuits Design VI. Phase-Locked Loops VI-1 Outline Introduction Basic Feedback Loop Theory Circuit Implementation VI-2 What is a PLL? A PLL is a negative feedback system where an oscillatorgenerated signal is phase and

More information

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 LECTURE 160 CDR EXAMPLES INTRODUCTION Objective The objective of this presentation is: 1.) Show two examples of clock and data recovery

More information

Analogue to Digital Conversion

Analogue to Digital Conversion Analogue to Digital Conversion Turns electrical input (voltage/current) into numeric value Parameters and requirements Resolution the granularity of the digital values Integral NonLinearity proportionality

More information

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection Somnath Kundu 1, Bongjin Kim 1,2, Chris H. Kim 1 1

More information

Dedication. To Mum and Dad

Dedication. To Mum and Dad Dedication To Mum and Dad Acknowledgment Table of Contents List of Tables List of Figures A B A B 0 1 B A List of Abbreviations Abstract Chapter1 1 Introduction 1.1. Motivation Figure 1. 1 The relative

More information

Lecture 12: Introduction to Link Design

Lecture 12: Introduction to Link Design Lecture 12: Introduction to Link Design Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2000 by Mark Horowitz EE371 Lecture 12-1 Horowitz Overview Reading Chapter 19 - High

More information

Lecture 12: Introduction to Link Design

Lecture 12: Introduction to Link Design Lecture 12: Introduction to Link Design Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2000 by Mark Horowitz EE371 Lecture 12-1 Horowitz Overview Reading Chapter 19 - High

More information

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control Sooho Cha, Chunseok Jeong, and Changsik Yoo A phase-locked loop (PLL) is described which is operable from 0.4 GHz to 1.2

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

5Gbps Serial Link Transmitter with Pre-emphasis

5Gbps Serial Link Transmitter with Pre-emphasis Gbps Serial Link Transmitter with Pre-emphasis Chih-Hsien Lin, Chung-Hong Wang and Shyh-Jye Jou Department of Electrical Engineering,National Central University,Chung-Li, Taiwan R.O.C. Abstract- High-speed

More information

Proposing. An Interpolated Pipeline ADC

Proposing. An Interpolated Pipeline ADC Proposing An Interpolated Pipeline ADC Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Lab. Background 38GHz long range mm-wave system Role of long range mm-wave Current Optical

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 16: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project descriptions are posted on the website Preliminary

More information

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme Young-Chan Jang a) School of Electronic Engineering, Kumoh National Institute of Technology, 1, Yangho-dong,

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 010 Lecture 3: CDR Wrap-Up Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam is April 30 Will emphasize

More information

Lecture 23: PLLs. Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class

Lecture 23: PLLs. Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 23: PLLs Announcements Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class Open book open notes Project

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California A 4 GSample/s 8-bit ADC in 0.35 µm CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California 1 Outline Background Chip Architecture

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 PLL (Introduction) 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Clock Clock: Timing

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.3, JUNE, 2014 http://dx.doi.org/10.5573/jsts.2014.14.3.331 A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

More information

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI Assistant Professor, E Mail: manoj.jvwu@gmail.com Department of Electronics and Communication Engineering Baldev Ram Mirdha Institute

More information

A High-Resolution Dual-Loop Digital DLL

A High-Resolution Dual-Loop Digital DLL JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.4, AUGUST, 216 ISSN(Print) 1598-1657 http://dx.doi.org/1.5573/jsts.216.16.4.52 ISSN(Online) 2233-4866 A High-Resolution Dual-Loop Digital DLL

More information

THE DEMANDS of a high-bandwidth dynamic random access

THE DEMANDS of a high-bandwidth dynamic random access 422 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 7, JULY 2011 Clock- and Data-Recovery Circuit With Independently Controlled Eye-Tracking Loop for High-Speed Graphic DRAMs

More information

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter University of Pennsylvania ScholarlyCommons epartmental Papers (ESE) epartment of Electrical & Systems Engineering 7-1-2003 A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and

More information

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop Seong-Jin An 1 and Young-Shig Choi 2 Department of Electronic Engineering, Pukyong National University

More information

INTEGRATED CIRCUITS. AN109 Microprocessor-compatible DACs Dec

INTEGRATED CIRCUITS. AN109 Microprocessor-compatible DACs Dec INTEGRATED CIRCUITS 1988 Dec DAC products are designed to convert a digital code to an analog signal. Since a common source of digital signals is the data bus of a microprocessor, DAC circuits that are

More information

A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique

A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique 1 A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique Masaya Miyahara and Akira Matsuzawa Tokyo Institute of Technology, Japan 2 Outline Motivation Design Concept

More information

A CMOS Multi-Gb/s 4-PAM Serial Link Transceiver*

A CMOS Multi-Gb/s 4-PAM Serial Link Transceiver* A CMOS Multi-Gb/s 4-PAM Serial Link Transceiver* March 11, 1999 Ramin Farjad-Rad Center for Integrated Systems Stanford University Stanford, CA 94305 *Funding from LSI Logic, SUN Microsystems, and Powell

More information

Choosing Loop Bandwidth for PLLs

Choosing Loop Bandwidth for PLLs Choosing Loop Bandwidth for PLLs Timothy Toroni SVA Signal Path Solutions April 2012 1 Phase Noise (dbc/hz) Choosing a PLL/VCO Optimized Loop Bandwidth Starting point for setting the loop bandwidth is

More information

A 2-byte Parallel 1.25 Gb/s Interconnect I/O Interface with Self-configurable Link and Plesiochronous Clocking

A 2-byte Parallel 1.25 Gb/s Interconnect I/O Interface with Self-configurable Link and Plesiochronous Clocking UDC 621.3.049.771.14:681.3.01 A 2-byte Parallel 1.25 Gb/s Interconnect I/O Interface with Self-configurable Link and Plesiochronous Clocking VKohtaroh Gotoh VHideki Takauchi VHirotaka Tamura (Manuscript

More information

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray Agenda Problems of On-chip Global Signaling Channel Design Considerations

More information

Analogue to Digital Conversion

Analogue to Digital Conversion Analogue to Digital Conversion Turns electrical input (voltage/current) into numeric value Parameters and requirements Resolution the granularity of the digital values Integral NonLinearity proportionality

More information

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.6, DECEMBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.6.825 ISSN(Online) 2233-4866 An All-digital Delay-locked Loop using

More information

Integrated Circuit Design for High-Speed Frequency Synthesis

Integrated Circuit Design for High-Speed Frequency Synthesis Integrated Circuit Design for High-Speed Frequency Synthesis John Rogers Calvin Plett Foster Dai ARTECH H O US E BOSTON LONDON artechhouse.com Preface XI CHAPTER 1 Introduction 1 1.1 Introduction to Frequency

More information

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems M. Meghelli 1, A. Rylyakov 1, S. J. Zier 2, M. Sorna 2, D. Friedman 1 1 IBM T. J. Watson Research Center 2 IBM

More information

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Engineering, Technology & Applied Science Research Vol. 7, No. 2, 2017, 1473-1477 1473 A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Hamidreza Esmaeili Taheri Department of Electronics

More information

10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS

10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS 10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu*, Andy Burstein**, Mehrdad Heshami*** Agilent Technologies, Palo Alto, CA *Agilent Technologies, Colorado Springs,

More information

MTJ based Random Number Generation and Analog-to-Digital Conversion Chris H. Kim University of Minnesota

MTJ based Random Number Generation and Analog-to-Digital Conversion Chris H. Kim University of Minnesota MTJ based Random Number Generation and Analog-to-Digital Conversion Chris H. Kim University of Minnesota Workshop on the Future of Spintronics, June 5, 216 1 Switching Probability of an MTJ Parallel: Low

More information

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard 0.13 µm CMOS SOI Technology School of Electrical and Electronic Engineering Yonsei University 이슬아 1. Introduction 2. Architecture

More information

DS1868B Dual Digital Potentiometer

DS1868B Dual Digital Potentiometer www. maximintegrated.com FEATURES Two digitally controlled, 256-position potentiometers Serial port provides means for setting and reading both potentiometers Resistors can be connected in series to provide

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN60: Network Theory Broadband Circuit Design Fall 014 Lecture 13: Frequency Synthesizer Examples Sam Palermo Analog & Mixed-Signal Center Texas A&M University Agenda Frequency Synthesizer Examples Design

More information

THE SELF-BIAS PLL IN STANDARD CMOS

THE SELF-BIAS PLL IN STANDARD CMOS THE SELF-BIAS PLL IN STANDAD CMOS Miljan Nikolić, Milan Savić, Predrag Petković Laboratory for Electronic Design Automation, Faculty of Electronic Engineering, University of Niš, Aleksandra Medvedeva 14.,

More information

HiMARK FS8170. FS GHz Low Power Phase-locked Loop IC. Description. Features. Package and Pin Assignment

HiMARK FS8170. FS GHz Low Power Phase-locked Loop IC. Description. Features. Package and Pin Assignment 2. GHz Low Power Phase-locked Loop IC Princeton Technology Corp. reserves the right to change the product described in this datasheet. ll information contained in this datasheet is subject to change without

More information

Low-Jitter 155MHz/622MHz Clock Generator

Low-Jitter 155MHz/622MHz Clock Generator 19-2697; Rev 0; 12/02 Low-Jitter 155MHz/622MHz Clock Generator General Description The is a low-jitter 155MHz/622MHz reference clock generator IC designed for system clock distribution and frequency synchronization

More information

Advanced Operational Amplifiers

Advanced Operational Amplifiers IsLab Analog Integrated Circuit Design OPA2-47 Advanced Operational Amplifiers כ Kyungpook National University IsLab Analog Integrated Circuit Design OPA2-1 Advanced Current Mirrors and Opamps Two-stage

More information

26.8: A 1.9GHz Single-Chip CMOS PHS Cellphone

26.8: A 1.9GHz Single-Chip CMOS PHS Cellphone 26.8: A 1.9GHz Single-Chip CMOS PHS Cellphone William W. Si, Srenik Mehta, Hirad Samavati, Manolis Terrovitis, Michael Mack, KeithOnodera, SteveJen, Susan Luschas, Justin Hwang, SuniMendis, DavidSu, BruceWooley

More information

9-Bit, 30 MSPS ADC AD9049 REV. 0. Figure 1. Typical Connections FUNCTIONAL BLOCK DIAGRAM

9-Bit, 30 MSPS ADC AD9049 REV. 0. Figure 1. Typical Connections FUNCTIONAL BLOCK DIAGRAM a FEATURES Low Power: 00 mw On-Chip T/H, Reference Single +5 V Power Supply Operation Selectable 5 V or V Logic I/O Wide Dynamic Performance APPLICATIONS Digital Communications Professional Video Medical

More information

ICS663 PLL BUILDING BLOCK

ICS663 PLL BUILDING BLOCK Description The ICS663 is a low cost Phase-Locked Loop (PLL) designed for clock synthesis and synchronization. Included on the chip are the phase detector, charge pump, Voltage Controlled Oscillator (VCO)

More information

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

More information

10-Bit, 40 MSPS/60 MSPS A/D Converter AD9050 REV. B. Figure 1. Typical Connections FUNCTIONAL BLOCK DIAGRAM

10-Bit, 40 MSPS/60 MSPS A/D Converter AD9050 REV. B. Figure 1. Typical Connections FUNCTIONAL BLOCK DIAGRAM a FEATURES Low Power: 1 mw @ 0 MSPS, mw @ 0 MSPS On-Chip T/H, Reference Single + V Power Supply Operation Selectable V or V Logic I/O SNR: db Minimum at MHz w/0 MSPS APPLICATIONS Medical Imaging Instrumentation

More information

High Speed Clock Distribution Design Techniques for CDC 509/516/2509/2510/2516

High Speed Clock Distribution Design Techniques for CDC 509/516/2509/2510/2516 High Speed Clock Distribution Design Techniques for CDC 509/516/2509/2510/2516 APPLICATION REPORT: SLMA003A Boyd Barrie Bus Solutions Mixed Signals DSP Solutions September 1998 IMPORTANT NOTICE Texas Instruments

More information

Signal Technologies 1

Signal Technologies 1 Signal Technologies 1 Gunning Transceiver Logic (GTL) - evolution Evolved from BTL, the backplane transceiver logic, which in turn evolved from ECL (emitter-coupled logic) Setup of an open collector bus

More information

Design and Implementation of High-Speed CMOS Clock and Data Recovery Circuit for Optical Interconnection Applications. Seong-Jun Song. Dec.

Design and Implementation of High-Speed CMOS Clock and Data Recovery Circuit for Optical Interconnection Applications. Seong-Jun Song. Dec. MS Thesis esign and Implementation of High-Speed CMOS Clock and ata Recovery Circuit for Optical Interconnection Applications Seong-Jun Song ec. 20, 2002 oratory, epartment of Electrical Engineering and

More information

ICS PLL BUILDING BLOCK

ICS PLL BUILDING BLOCK Description The ICS673-01 is a low cost, high performance Phase Locked Loop (PLL) designed for clock synthesis and synchronization. Included on the chip are the phase detector, charge pump, Voltage Controlled

More information

Integer-N Clock Translator for Wireline Communications AD9550

Integer-N Clock Translator for Wireline Communications AD9550 Integer-N Clock Translator for Wireline Communications AD955 FEATURES BASIC BLOCK DIAGRAM Converts preset standard input frequencies to standard output frequencies Input frequencies from 8 khz to 2 MHz

More information

A 1.25-Gb/s Digitally-Contolled Dual-Loop Clock and Data Recovery Circuit with Enhanced Phase Resolution

A 1.25-Gb/s Digitally-Contolled Dual-Loop Clock and Data Recovery Circuit with Enhanced Phase Resolution A 1.25-Gb/s Digitally-Contolled Dual-Loop Clock and Data Recovery Circuit with Enhanced Phase Resolution Chang-Kyung Seong The Graduate School Yonsei University Department of Electrical and Electronic

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Frequency Synthesizers for RF Transceivers. Domine Leenaerts Philips Research Labs.

Frequency Synthesizers for RF Transceivers. Domine Leenaerts Philips Research Labs. Frequency Synthesizers for RF Transceivers Domine Leenaerts Philips Research Labs. Purpose Overview of synthesizer architectures for RF transceivers Discuss the most challenging RF building blocks Technology

More information

Low-Power Pipelined ADC Design for Wireless LANs

Low-Power Pipelined ADC Design for Wireless LANs Low-Power Pipelined ADC Design for Wireless LANs J. Arias, D. Bisbal, J. San Pablo, L. Quintanilla, L. Enriquez, J. Vicente, J. Barbolla Dept. de Electricidad y Electrónica, E.T.S.I. de Telecomunicación,

More information

PE3282A. 1.1 GHz/510 MHz Dual Fractional-N PLL IC for Frequency Synthesis. Peregrine Semiconductor Corporation. Final Datasheet

PE3282A. 1.1 GHz/510 MHz Dual Fractional-N PLL IC for Frequency Synthesis. Peregrine Semiconductor Corporation. Final Datasheet Final Datasheet PE3282A 1.1 GHz/510 MHz Dual Fractional-N PLL IC for Frequency Synthesis Applications Cellular handsets Cellular base stations Spread-spectrum radio Cordless phones Pagers Description The

More information

Short Course On Phase-Locked Loops and Their Applications Day 5, AM Lecture. Advanced PLL Examples (Part I)

Short Course On Phase-Locked Loops and Their Applications Day 5, AM Lecture. Advanced PLL Examples (Part I) Short Course On Phase-Locked Loops and Their Applications Day 5, AM Lecture Advanced PLL Examples (Part I) Michael Perrott August 15, 2008 Copyright 2008 by Michael H. Perrott All rights reserved. Outline

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 010 Lecture 7: PLL Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report

More information

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Abstract: Double-edged pulse width modulation (DPWM) is less sensitive to frequency-dependent losses in electrical

More information

High-Speed Links. Agenda : High Speed Links

High-Speed Links. Agenda : High Speed Links High-Speed Links Vladimir Stojanovic (with slides from M. Horowitz, J. Zerbe, K.Yang and W. Ellersick) EE371 Lecture 16 Agenda : High Speed Links High-Speed Links, What,Where? Signaling Faster - Evolution»

More information

SiNANO-NEREID Workshop:

SiNANO-NEREID Workshop: SiNANO-NEREID Workshop: Towards a new NanoElectronics Roadmap for Europe Leuven, September 11 th, 2017 WP3/Task 3.2 Connectivity RF and mmw Design Outline Connectivity, what connectivity? High data rates

More information

INL PLOT REFIN DAC AMPLIFIER DAC REGISTER INPUT CONTROL LOGIC, REGISTERS AND LATCHES

INL PLOT REFIN DAC AMPLIFIER DAC REGISTER INPUT CONTROL LOGIC, REGISTERS AND LATCHES ICm ictm IC MICROSYSTEMS FEATURES 12-Bit 1.2v Low Power Single DAC With Serial Interface and Voltage Output DNL PLOT 12-Bit 1.2v Single DAC in 8 Lead TSSOP Package Ultra-Low Power Consumption Guaranteed

More information

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Outline

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Outline EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces Lecture #7 Components Termination, Transmitters & Receivers Jared Zerbe 2/10/04 Outline General issues Termination

More information

EE247 Lecture 20. Comparator architecture examples Flash ADC sources of error Sparkle code Meta-stability

EE247 Lecture 20. Comparator architecture examples Flash ADC sources of error Sparkle code Meta-stability EE247 Lecture 2 ADC Converters ADC architectures (continued) Comparator architectures Latched comparators Latched comparators incorporating preamplifier Sample-data comparators Offset cancellation Comparator

More information

A 10Gb/s 10mm On-Chip Serial Link in 65nm CMOS Featuring a Half-Rate Time-Based Decision Feedback Equalizer

A 10Gb/s 10mm On-Chip Serial Link in 65nm CMOS Featuring a Half-Rate Time-Based Decision Feedback Equalizer A 10Gb/s 10mm On-Chip Serial Link in 65nm CMOS Featuring a Half-Rate Time-Based Decision Feedback Equalizer Po-Wei Chiu, Somnath Kundu, Qianying Tang, and Chris H. Kim University of Minnesota, Minneapolis,

More information

Design of High-Speed Serial-Links in CMOS (Task ID: )

Design of High-Speed Serial-Links in CMOS (Task ID: ) Design of High-Speed Serial-Links in CMOS (Task ID: 930.001) SRC Research Review September 10, 2003 Won Namgoong University of Southern California SRC Review 9/10/03 W. Namgoong, USC 1 Design of High-Speed

More information

A Wide Tuning Range (1 GHz-to-15 GHz) Fractional-N All-Digital PLL in 45nm SOI

A Wide Tuning Range (1 GHz-to-15 GHz) Fractional-N All-Digital PLL in 45nm SOI 7- A Wide Tuning Range ( GHz-to-5 GHz) Fractional-N All-Digital PLL in 45nm SOI Alexander Rylyakov, Jose Tierno, George English 2, Michael Sperling 2, Daniel Friedman IBM T. J. Watson Research Center Yorktown

More information

A Compact, Low-Power Low- Jitter Digital PLL. Amr Fahim Qualcomm, Inc.

A Compact, Low-Power Low- Jitter Digital PLL. Amr Fahim Qualcomm, Inc. A Compact, Low-Power Low- Jitter Digital PLL Amr Fahim Qualcomm, Inc. 1 Outline Introduction & Motivation Digital PLL Architectures Proposed DPLL Architecture Analysis of DPLL DPLL Adaptive Algorithm DPLL

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

Working with ADCs, OAs and the MSP430

Working with ADCs, OAs and the MSP430 Working with ADCs, OAs and the MSP430 Bonnie Baker HPA Senior Applications Engineer Texas Instruments 2006 Texas Instruments Inc, Slide 1 Agenda An Overview of the MSP430 Data Acquisition System SAR Converters

More information

SA GHz low voltage fractional-n dual frequency synthesizer

SA GHz low voltage fractional-n dual frequency synthesizer INTEGRATED CIRCUITS SA826 2.5GHz low voltage fractional-n dual frequency Supersedes data of 1999 Apr 16 1999 Nov 4 SA826 GENERAL DESCRIPTION The SA826 BICMOS device integrates programmable dividers, charge

More information

A 45-nm SOI-CMOS Dual-PLL Processor Clock System for Multi-Protocol I/O

A 45-nm SOI-CMOS Dual-PLL Processor Clock System for Multi-Protocol I/O A 45-nm SOI-CMOS Dual-PLL Processor Clock System for Multi-Protocol I/O Dennis Fischette, Alvin Loke, Michael Oshima, Bruce Doyle, Roland Bakalski*, Richard DeSantis, Anand Thiruvengadam, Charles Wang,

More information

Phil Lehwalder ECE526 Summer 2011 Dr. Chiang

Phil Lehwalder ECE526 Summer 2011 Dr. Chiang Phil Lehwalder ECE526 Summer 2011 Dr. Chiang PLL (Phase Lock Loop) Dynamic system that produces a clock in response to the frequency and phase of an input clock by varying frequency of an internal oscillator.

More information

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT PRADEEP G CHAGASHETTI Mr. H.V. RAVISH ARADHYA Department of E&C Department of E&C R.V.COLLEGE of ENGINEERING R.V.COLLEGE of ENGINEERING Bangalore

More information

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier Hyunui Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline Background Body voltage controlled

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 Lecture 10: Termination & Transmitter Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam

More information

ICS1561A. Differential Output PLL Clock Generator. Integrated Circuit Systems, Inc. Features. Description. Block Diagram

ICS1561A. Differential Output PLL Clock Generator. Integrated Circuit Systems, Inc. Features. Description. Block Diagram Integrated Circuit Systems, Inc. ICS1561A Differential Output PLL Clock Generator Description The ICS1561A is a very high performance monolithic PLL frequency synthesizer. Utilizing ICS s advanced CMOS

More information

Research on Self-biased PLL Technique for High Speed SERDES Chips

Research on Self-biased PLL Technique for High Speed SERDES Chips 3rd International Conference on Machinery, Materials and Information Technology Applications (ICMMITA 2015) Research on Self-biased PLL Technique for High Speed SERDES Chips Meidong Lin a, Zhiping Wen

More information

LINEAR IC APPLICATIONS

LINEAR IC APPLICATIONS 1 B.Tech III Year I Semester (R09) Regular & Supplementary Examinations December/January 2013/14 1 (a) Why is R e in an emitter-coupled differential amplifier replaced by a constant current source? (b)

More information

DS1267B Dual Digital Potentiometer

DS1267B Dual Digital Potentiometer Dual Digital Potentiometer FEATURES Two digitally controlled, 256-position potentiometers Serial port provides means for setting and reading both potentiometers Resistors can be connected in series to

More information