Design and Implementation of High-Speed CMOS Clock and Data Recovery Circuit for Optical Interconnection Applications. Seong-Jun Song. Dec.

Size: px
Start display at page:

Download "Design and Implementation of High-Speed CMOS Clock and Data Recovery Circuit for Optical Interconnection Applications. Seong-Jun Song. Dec."

Transcription

1 MS Thesis esign and Implementation of High-Speed CMOS Clock and ata Recovery Circuit for Optical Interconnection Applications Seong-Jun Song ec. 20, 2002 oratory, epartment of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology (KAIST) Seong-Jun Song 1

2 Outline! Introduction! Motivation! Problem efinition! Proposed 1/8-Rate CR! Building Blocks! Measurement Results! Conclusion & Further Works Seong-Jun Song 2

3 Introduction Optical Input ata Noise Corrupted ata Boosted ata Recovered Clock Retimed ata Recovered Clock Pre Amp Post Amp AGC* * AGC : Automatic Gain Control ecision Circuit Clock Recovery Circuit 1:4 EMUX Freq. ivider Network Interface Framer Seong-Jun Song 3

4 Motivation Long-Haul Applications (SONET, Gigabit Ethernet) Short-Haul Applications (Backplane, Chip-to-Chip) III-V, Si Bipolar, SiGe HBT Very high-speed Inherently low noise # High cost # High power consumption # Not compatible with other technologies CMOS Low cost High level of integration Low power consumption # Less speed # High noise The Solution is Novel CR Architecture and Circuit Techniques In CMOS!!! Seong-Jun Song 4

5 Outline! Introduction! Motivation! Problem efinition! Proposed 1/8-Rate CR! Building Blocks! Measurement Results! Conclusion & Further Works Seong-Jun Song 5

6 Generic CR Configuration Clock and ata Recovery (CR) Pre Amp Post Amp AGC ecision Circuit Clock Recovery Circuit 1:4 EMUX Freq. ivider Network Interface Framer Edge Edge etector etector Phase Phase etector etector Loop Loop Filter Filter VCO VCO PLL-Based Clock Recovery Circuit Seong-Jun Song 6

7 Performance Limitation of 0.25-µm CMOS * Max. Oscillation Frequency (GHz) Max. Performance 2GHz (2-Gb/s) A Number of elay Stages elay elay elay Simple VCO * M. Fukaishi, et al., JSSC, ec. 1998! Simulation result for 0.25-µm CMOS differential ring oscillators with resistive loads and isolation buffers Seong-Jun Song 7

8 Substrate Noise Effect of VCO Pre Amp Noise-Sensitive Analog Blocks Post Amp VCO CR 1:4 EMUX Si Substrate VCO Switching Noise Substrate noise voltage f * * M. van Heijningen, et al., JSSC, Aug Seong-Jun Song 8

9 Conventional CR Techniques (1/2)! Full-Rate Clock Technique * # Full-rate clock frequency (4GHz) # Impossible to design VCO * M. Soyuer, et al., JSSC, ec ata CK ! Half-Rate Clock Technique ** # Half-rate clock frequency (2GHz) # Close to performance limitation # ifficult to design VCO ** M. Rau, et al., JSSC, July 1997 ata CK Seong-Jun Song 9

10 Conventional CR Techniques (2/2)! Oversampling Technique * uarter-rate clock frequency (1GHz) Easier to design VCO # Highly clock phase resolution # uantization jitter # Extra decision logic ata CK 0 CK 1 CK * C.-K. Yang, et al., JSSC, May 1998 Seong-Jun Song 10

11 Outline! Introduction! Motivation! Problem efinition! Proposed 1/8-Rate CR! Building Blocks! Measurement Results! Conclusion & Further Works Seong-Jun Song 11

12 Proposed CR Technique! 1/8-Rate Clock Technique * 1/8-rate clock frequency (0.5GHz) Very easy to design VCO No quantization jitter No extra decision logic Can do 1:4 EMUX # Complex design ata CK * S.-J. Song, et al., ESSCIRC, Sept * S.-J. Song, et al., to be published for JSSC, July 2003 CK 1 CK 2 CK 3 Seong-Jun Song 12

13 Proposed 1/8-Rate CR Architecture Conventional Full-Rate CR Proposed 1/8-Rate CR ecision Circuit Clock Recovery Circuit 1:4 EMUX Freq. ivider Merging 1/8-Rate CR Circuit Multiple Funtional Blocks A Single Functional Block! Proposed 1/8-rate CR circuit can achieve higher speed operation, lower power consumption, and smaller area. Seong-Jun Song 13

14 Proposed 1/8-Rate CR Circuit NRZ ata (4-Gb/s) 6-Bit Coarse Control Word in CC [5:0] 6 CK 0 1/8-Rate Phase etector Performing 1:4 EMUX CK 1 CK 2 CK 3 VCO (0.5GHz) T CT Charge Pump LPF ata Output Buffers :4 emultiplexed ata (1-Gb/s) Clock Output Buffers CK 0 CK 1 CK 2 CK 3 Four Half-uadrature 1/8-Rate Clocks (0.5GHz) Seong-Jun Song 14

15 Outline! Introduction! Motivation! Problem efinition! Proposed 1/8-Rate CR! Building Blocks! Measurement Results! Conclusion & Further Works Seong-Jun Song 15

16 Choice of VCO Configuration Ring Oscillator LC Oscillator elay elay elay Wide tuning range ifferent phase clock generation # Low center frequency # Low factor # High phase noise & jitter High center frequency High factor Low phase noise & jitter # Narrow tuning range # Large area Seong-Jun Song 16

17 Choice of Inductor Load Spiral Inductor Load Active Inductor Load V C C V C L 1 g m ω osc 1 LC 1 V C ω osc 1 LC g m I # Low factor (3~5) # Large area # ependent on process # ifficult to design Moderately high factor (>>10) Small area Easy to design # Noise caused by resistor and MOS Seong-Jun Song 17

18 Voltage-Controlled Oscillator * CC : uty Cycle Correction CK 0 CK 0 CK 1 CK 1 CK 2 CK 2 CK 3 CK 3 Feedback Isolation Buffers with CC * elay elay elay elay Fine Control Coarse Control 6! Four half-quadrature phase clocks! elay stage with active inductor load! CC for using both rising and falling edges of clock Seong-Jun Song 18

19 Bandwidth Extension Feedback Isolation Buffer Resistive Feedback V in V out V in V out uty-cycle Correction High CMRR Feedback (a) Block iagram (b) Transistor-Level Implementation Seong-Jun Song 19

20 Single elay Stage of the VCO M 9 Active Inductor Load R 1 R 2 M 10 M 11 M 12 V out M 1 M 2 M 3 M 4 M 5 M 6 M 7 M 8 V in V fine_ctrl 6-Bit Coarse Control Word 6 32I 16I 8I 4I 2I I R 3 R 4 6-bit igital Coarse Tuning Folded ifferential Fine Tuning ω = ω + K V K N for N = 0,..., 63 osc FR VCO_Fine fine_ctrl + VCO_Coarse Seong-Jun Song 20

21 1/8-Rate Linear Phase etector Four emultiplexed ata ( 0 ~ 3 ) CK 0 CK 1 in CK 2 CK 3 ata & Clock Transition (CT) etector CT 0 CT 1 CT 2 CT 3 ata & Clock Transition (CT) Generator T To Charge Pump CT! Three tasks! ata Transition etection! Linear phase error detection! ata regeneration! ata demultiplexing! No systematic offset! Employing proposed folded current-mode logic family (-latch, MUX, and XOR) Seong-Jun Song 21

22 1/8-Rate Linear P Characteristic ata 250ps CK ata-ck delay V CT - V T (mv) Locking Point ata to CK delay (ps) Seong-Jun Song 22

23 Current-Mode Logic (CML) Conventional CML -Latch Proposed Folded CML -Latch CK CK High speed operation Low power consumption # High supply voltage # Need for level shifter Higher speed operation Low supply voltage No need for level shifter Wide input/output range # High power consumption # Large area Seong-Jun Song 23

24 Proposed Folded CML Family * Folded MUX * Folded XOR * = CK + CK = A B + A B = A B B B CK A * S.-J. Song, et al., to be published for JSSC, July 2003 Seong-Jun Song 24

25 Fully ifferential Charge Pump CMFB * * CMFB : Common-Mode Feedback LPF V ctrl T CT T CT V ctrl Seong-Jun Song 25 t

26 Outline! Introduction! Motivation! Problem efinition! Proposed 1/8-Rate CR! Building Blocks! Measurement Results! Conclusion & Further Works Seong-Jun Song 26

27 Chip Microphotograph VCO 1/8-Rate Phase etector Performing 1:4 EMUX CP LPF! 0.25-µm Standard CMOS! 0.9 x 1.0 mm 2 Seong-Jun Song 27

28 Test Fixture Four 0.5GHz Recovered Clock Out Gold Wire Bonding 4-Gb/s ata In Four 1-Gb/s Recovered ata Out FR-4 PCB Seong-Jun Song 28

29 Measured Recovered Clock! For PRBS input data at 4-Gb/s, 10 db/div 1MHz offset 47ps pk-pk 5.2ps RMS 1 MHz/div 100 ps/div Seong-Jun Song 29

30 Measured Eye iagrams PRBS ata Input (4-Gb/s) Four Recovered ata Output (1-Gb/s) V: 200 mv/div, H: 200 ps/div in 1/8-Rate CR Circuit CK 0 CK 1 CK 2 CK 3 Four Recovered Clock Output (0.5GHz) V: 200 mv/div, H: 200 ps/div V: 200 mv/div, H: 500 ps/div Seong-Jun Song 30

31 Recovered Clock and ata! For PRBS input data at 4-Gb/s, 200 mv/div 1-Gb/s emultiplexed Recovered ata ( 0 ) 0.5GHz Recovered Clock (CK 0 ) 500 ps/div Seong-Jun Song 31

32 Measured VCO Characteristic ifferential Fine Tuning 6-Bit igital Coarse Tuning Measured VCO Frequency (MHz) ωosc I ifferential Fine Control Voltage (V) Measured VCO Frequency (MHz) ωosc I Bit Coarse Control Word (N)! K VCO_Fine = 75 MHz/V! Fine Tuning Range = 70 MHz (14%)! K VCO_Coarse = 2.5 MHz/Word Step! Coarse Tuning Range = 150 MHz (30%) ω = ω + K V K N for N = 0,..., 63 osc FR VCO_Fine fine_ctrl + VCO_Coarse Seong-Jun Song 32

33 Performance Summary NRZ ata Rate Recovered Clock Recovered ata Capture Range VCO Fine Tuning Gain Phase Noise at 1-MHz offset Clock Jitter for PRBS BER for PRBS Power issipation (excluding output buffers) Supply Voltage Active Area Technology 4-Gb/s 0.5 GHz Four 1-Gb/s 16 MHz 75 MHz/V -112 dbc/hz 5.2 ps RMS < mw 2.5 V 0.9 x 1.0 mm µm standard CMOS Seong-Jun Song 33

34 Proposed Performance Index! From O. T.-C. Chen, et al., JSSC, Jan. 2002,! Frequency index in PLL is derived by Technology 1.8 V F = F 0.35µ m Supply Voltage (MHz)! By taking account into power consumption in CR circuit,! Proposed performance index in CR circuit can be expressed as Normalized ata Rate = Technology 70 mw 0.25µ m Power Consumption Supply Voltage ata Rate 2.5 V (Gb/s) Seong-Jun Song 34

35 Performance Comparison Reference CR Technique Normalized ata Rate ata Rate Power Consumption Supply Voltage Technology [1] Full-Rate Clock 1.12-Gb/s 1-Gb/s 300 mw 5 V 0.6-µm CMOS [2] Half-Rate Clock 1.08-Gb/s 1.25-Gb/s 150 mw 3.3 V 0.35-µm CMOS [3] Half-Rate Clock 1.27-Gb/s 10-Gb/s 285 mw 1.8 V 0.18-µm CMOS [4] Half-Rate Clock 2.17-Gb/s 1-Gb/s 85 mw 3.3 V 0.5-µm CMOS [5] Half-Rate Clock 2.62-Gb/s 6-Gb/s 83 mw 1.8 V 0.18-µm CMOS [6] 3x-Oversampling 0.76-Gb/s 4-Gb/s mw 3.3 V 0.5-µm CMOS [7] 3x-Oversampling 2.29-Gb/s 5-Gb/s 153 mw 2.5 V 0.25-µm CMOS [8] 2x-Oversampling 2.47-Gb/s 4-Gb/s 84 mw 1.93 V 0.24-µm CMOS This Work 1/8-Rate Clock 4-Gb/s 4-Gb/s 70 mw 2.5 V 0.25-µm CMOS Normalized ata Rate = Technology 70 mw 0.25µ m Power Consumption Supply Voltage ata Rate 2.5 V (Gb/s) Seong-Jun Song 35

36 Normalized Performance Comparison Normalized ata Rate (Gb/s) Full-Rate Clock Half-Rate Clock Oversampling 1/8-Rate Clock 0 [1] 1 [2] 2 [3] 3 [4] 4 [5] 5 [6] 6 [7] 7 [8] 8 This 9 10 CMOS CR Circuits Work 50% Increase [1] H. Wang, et al., ISSCC, 1999 [2] K. Iravani, et al., CICC, 1998 [3] J. E. Rogers, et al., ISSCC, 2002 [4] M. Rau, et al., JSSC, July 1997 [5] K. Nakamura, et al., SOVC, 1998 [6] C.-K. Yang, et al., JSSC, May 1998 [7] S.-H. Lee, et al., JSSC, ec [8] M.-K.E. Lee, et al., SOVC, 2002 Seong-Jun Song 36

37 Outline! Introduction! Motivation! Problem efinition! Proposed 1/8-Rate CR! Building Blocks! Measurement Results! Conclusion & Further Works Seong-Jun Song 37

38 Conclusion! A high-speed and low-power CR circuit has been introduced :! Exploiting 1/8-rate clock technique! Using a 0.25-µm standard CMOS technology! Single functional block merging clock recovery circuit, decision circuit, divider, and 1:4 EMUX! The proposed CR demonstrates 4-Gb/s and 70mW operation suitable for low cost optical interconnection applications. Seong-Jun Song 38

39 Further Works! Fully Integrated Frequency-Locked Loop! Broadband frequency detection! Improvement of BER! To improve SNR! To improve Clock Jitter Characteristic! Need for etailed Mathematical Analysis Seong-Jun Song 39

40 Supplemental Materials Seong-Jun Song 40

41 Operation of 1/8-Rate P (1/4) in CK 0 CK 1 CK 0 CK 2 CK 3 CT CK 1 CT in CT CT CK 2 T CT CK Seong-Jun Song 41

42 Operation of 1/8-Rate P (2/4) in CK 0 CK 1 CK 0 CK 1 in CK 2 CK 3 ata & Clock Transition (CT) etector CT 0 CT 1 CT 2 CT 3 CK 2 CK 3 CT 0 CT 1 CT 2 CT 3 T CT Seong-Jun Song 42

43 Operation of 1/8-Rate P (3/4) in Four emultiplexed ata ( 0 ~ 3 ) CK 0 CK 1 CK 0 CK 1 in CK 2 CK 3 ata & Clock Transition (CT) etector CT 0 CT 1 CT 2 CT 3 CK 2 CK 3 CT 0 CT 1 CT 2 CT 3 T CT Seong-Jun Song 43

44 Operation of 1/8-Rate P (4/4) in Four emultiplexed ata ( 0 ~ 3 ) CK 0 CK 1 CK 0 CK 1 in CK 2 CK 3 ata & Clock Transition (CT) etector CT 0 CT 1 CT 2 CT 3 ata & Clock Transition (CT) Generator T CT CK 2 CK 3 CT 0 CT 1 CT 2 CT 3 T CT Seong-Jun Song 44

45 Example in CK 0 CK 1 CK 2 CK 3 CT 0 CT 1 CT 2 CT 3 T CT Seong-Jun Song 45

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 LECTURE 160 CDR EXAMPLES INTRODUCTION Objective The objective of this presentation is: 1.) Show two examples of clock and data recovery

More information

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems M. Meghelli 1, A. Rylyakov 1, S. J. Zier 2, M. Sorna 2, D. Friedman 1 1 IBM T. J. Watson Research Center 2 IBM

More information

A 4-Gb/s CMOS Clock and Data Recovery Circuit Using 1=8-Rate Clock Technique

A 4-Gb/s CMOS Clock and Data Recovery Circuit Using 1=8-Rate Clock Technique IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 7, JULY 2003 1213 A 4-Gb/s CMOS Clock and Data Recovery Circuit Using 1=8-Rate Clock Technique Seong-Jun Song, Student Member, IEEE, Sung Min Park, Member,

More information

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter University of Pennsylvania ScholarlyCommons epartmental Papers (ESE) epartment of Electrical & Systems Engineering 7-1-2003 A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and

More information

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.287 ISSN(Online) 2233-4866 A 10-Gb/s Multiphase Clock and Data Recovery

More information

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.3

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.3 ISSCC 2003 / SESSION 10 / HIGH SPEE BUILING BLOCKS / PAPER 10.3 10.3 A 2.5 to 10GHz Clock Multiplier Unit with 0.22ps RMS Jitter in a 0.18µm CMOS Technology Remco C.H. van de Beek 1, Cicero S. Vaucher

More information

Frequency Synthesizers for RF Transceivers. Domine Leenaerts Philips Research Labs.

Frequency Synthesizers for RF Transceivers. Domine Leenaerts Philips Research Labs. Frequency Synthesizers for RF Transceivers Domine Leenaerts Philips Research Labs. Purpose Overview of synthesizer architectures for RF transceivers Discuss the most challenging RF building blocks Technology

More information

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation 196 LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation Ching-Yuan YANG a), Member and Jung-Mao LIN, Nonmember SUMMARY In this letter, a 1.25-Gb/s 0.18-µm

More information

A Sub-0.75 RMS-Phase-Error Differentially-Tuned Fractional-N Synthesizer with On-Chip LDO Regulator and Analog-Enhanced AFC Technique

A Sub-0.75 RMS-Phase-Error Differentially-Tuned Fractional-N Synthesizer with On-Chip LDO Regulator and Analog-Enhanced AFC Technique A Sub-0.75 RMS-Phase-Error Differentially-Tuned Fractional-N Synthesizer with On-Chip LDO Regulator and Analog-Enhanced AFC Technique Lei Lu, Lingbu Meng, Liang Zou, Hao Min and Zhangwen Tang Fudan University,

More information

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.4, AUGUST, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.4.552 ISSN(Online) 2233-4866 A 1.5 Gbps Transceiver Chipset in 0.13-mm

More information

Design of a 3.3-V 1-GHz CMOS Phase Locked Loop with a Two-Stage Self-Feedback Ring Oscillator

Design of a 3.3-V 1-GHz CMOS Phase Locked Loop with a Two-Stage Self-Feedback Ring Oscillator Journal of the Korean Physical Society, Vol. 37, No. 6, December 2000, pp. 803 807 Design of a 3.3-V 1-GHz CMOS Phase Locked Loop with a Two-Stage Self-Feedback Ring Oscillator Yeon Kug Moon Korea Advanced

More information

A 5Gbit/s CMOS Clock and Data Recovery Circuit

A 5Gbit/s CMOS Clock and Data Recovery Circuit A 5Gbit/s CMOS Clock and Data Recovery Circuit Author Kok-Siang, Tan, Sulainian, Mohd Shahian, Soon-Hwei, Tan, I Reaz, Mamun, Mohd-Yasin, F. Published 2005 Conference Title 2005 IEEE Conference on Electron

More information

20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS. Masum Hossain & Tony Chan Carusone University of Toronto

20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS. Masum Hossain & Tony Chan Carusone University of Toronto 20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS Masum Hossain & Tony Chan Carusone University of Toronto masum@eecg.utoronto.ca Motivation Data Rx3 Rx2 D-FF D-FF Rx1 D-FF Clock Clock

More information

A 60GHz Sub-Sampling PLL Using A Dual-Step-Mixing ILFD

A 60GHz Sub-Sampling PLL Using A Dual-Step-Mixing ILFD A 60GHz Sub-Sampling PLL Using A Dual-Step-Mixing ILFD Teerachot Siriburanon, Tomohiro Ueno, Kento Kimura, Satoshi Kondo, Wei Deng, Kenichi Okada, and Akira Matsuzawa Tokyo Institute of Technology, Japan

More information

Frequency Synthesizers

Frequency Synthesizers Phase-Locked Loops Frequency Synthesizers Ching-Yuan Yang National Chung-Hsing University epartment of Electrical Engineering One-port oscillators ecaying impulse response of a tank Adding of negative

More information

A GHz Quadrature ring oscillator for optical receivers van der Tang, J.D.; Kasperkovitz, D.; van Roermund, A.H.M.

A GHz Quadrature ring oscillator for optical receivers van der Tang, J.D.; Kasperkovitz, D.; van Roermund, A.H.M. A 9.8-11.5-GHz Quadrature ring oscillator for optical receivers van der Tang, J.D.; Kasperkovitz, D.; van Roermund, A.H.M. Published in: IEEE Journal of Solid-State Circuits DOI: 10.1109/4.987097 Published:

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN620: Network Theory Broadband Circuit Design Fall 2012 Lecture 20: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 2 is on Friday Nov. 9 One double-sided 8.5x11

More information

65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers

65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers 65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers Michael Gordon, Terry Yao, Sorin P. Voinigescu University of Toronto March 10 2006, UBC, Vancouver Outline Motivation mm-wave

More information

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN 5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE 802.11a/b/g WLAN Manolis Terrovitis, Michael Mack, Kalwant Singh, and Masoud Zargari 1 Atheros Communications, Sunnyvale, California 1 Atheros

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

A 5GHz, 32mW CMOS Frequency Synthesizer with an Injection Locked Frequency Divider. Hamid Rategh, Hirad Samavati, Thomas Lee

A 5GHz, 32mW CMOS Frequency Synthesizer with an Injection Locked Frequency Divider. Hamid Rategh, Hirad Samavati, Thomas Lee A 5GHz, 32mW CMOS Frequency Synthesizer with an Injection Locked Frequency Divider Hamid Rategh, Hirad Samavati, Thomas Lee OUTLINE motivation introduction synthesizer architecture synthesizer building

More information

SiNANO-NEREID Workshop:

SiNANO-NEREID Workshop: SiNANO-NEREID Workshop: Towards a new NanoElectronics Roadmap for Europe Leuven, September 11 th, 2017 WP3/Task 3.2 Connectivity RF and mmw Design Outline Connectivity, what connectivity? High data rates

More information

SiGe BiCMOS integrated circuits for highspeed. communication links

SiGe BiCMOS integrated circuits for highspeed. communication links SiGe BiCMOS integrated circuits for highspeed serial communication links Considerable progress has been made in integrating multi-gb/s functions into silicon chips for data- and telecommunication applications.

More information

A 1.2-to-1.4 GHz low-jitter frequency synthesizer for GPS application

A 1.2-to-1.4 GHz low-jitter frequency synthesizer for GPS application Journal of Chongqing University (English Edition) [ISSN 1671-8224] Vol. 12 No. 2 June 2013 doi:10.11835/j.issn.1671-8224.2013.02.008 To cite this article: HU Zheng-fei, HUANG Min-di, ZHANG Li. A 1.2-to-1.4

More information

THE continuous growth of broadband data communications

THE continuous growth of broadband data communications 1004 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 5, MAY 2006 High-Speed Circuit Designs for Transmitters in Broadband Data Links Jri Lee, Member, IEEE Abstract Various high-speed techniques including

More information

2.5Gbps, +3.3V Clock and Data Retiming ICs with Vertical Threshold Adjust

2.5Gbps, +3.3V Clock and Data Retiming ICs with Vertical Threshold Adjust 19-262; Rev ; 5/1 2.5Gbps, +3.3V Clock and Data Retiming ICs General Description The are compact, low-power clock recovery and data retiming ICs for 2.488Gbps SONET/ SDH applications. The fully integrated

More information

A 30-GS/sec Track and Hold Amplifier in 0.13-µm CMOS Technology Shahriar Shahramian Sorin P. Voinigescu Anthony Chan Carusone

A 30-GS/sec Track and Hold Amplifier in 0.13-µm CMOS Technology Shahriar Shahramian Sorin P. Voinigescu Anthony Chan Carusone A 30-GS/sec Track and Hold Amplifier in 0.13-µm CMOS Technology Shahriar Shahramian Sorin P. Voinigescu Anthony Chan Carusone Department of Electrical & Computer Eng. University of Toronto Canada Introduction

More information

A Clock and Data Recovery Circuit with Adaptive Loop Bandwidth Calibration and Idle Power Saved Frequency Acquisition

A Clock and Data Recovery Circuit with Adaptive Loop Bandwidth Calibration and Idle Power Saved Frequency Acquisition JOURNA OF SEMICONUCTOR TECHNOOGY AN SCIENCE, VO.17, NO.4, AUGUST, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.4.568 ISSN(Online) 2233-4866 A Clock and ata Recovery Circuit with Adaptive

More information

A Low Phase Noise 24/77 GHz Dual-Band Sub-Sampling PLL for Automotive Radar Applications in 65 nm CMOS Technology

A Low Phase Noise 24/77 GHz Dual-Band Sub-Sampling PLL for Automotive Radar Applications in 65 nm CMOS Technology A Low Phase Noise 24/77 GHz Dual-Band Sub-Sampling PLL for Automotive Radar Applications in 65 nm CMOS Technology Xiang Yi, Chirn Chye Boon, Junyi Sun, Nan Huang and Wei Meng Lim VIRTUS, Nanyang Technological

More information

Insights Into Circuits for Frequency Synthesis at mm-waves Andrea Mazzanti Università di Pavia, Italy

Insights Into Circuits for Frequency Synthesis at mm-waves Andrea Mazzanti Università di Pavia, Italy RFIC2014, Tampa Bay June 1-3, 2014 Insights Into Circuits for Frequency Synthesis at mm-waves Andrea Mazzanti Università di Pavia, Italy High data rate wireless networks MAN / LAN PAN ~7GHz of unlicensed

More information

REDUCING power consumption and enhancing energy

REDUCING power consumption and enhancing energy 548 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 63, NO. 6, JUNE 2016 A Low-Voltage PLL With a Supply-Noise Compensated Feedforward Ring VCO Sung-Geun Kim, Jinsoo Rhim, Student Member,

More information

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

More information

Available online at ScienceDirect. Procedia Computer Science 57 (2015 )

Available online at  ScienceDirect. Procedia Computer Science 57 (2015 ) Available online at www.sciencedirect.com Scienceirect Procedia Computer Science 57 (2015 ) 1081 1087 3rd International Conference on ecent Trends in Computing 2015 (ICTC-2015) Analysis of Low Power and

More information

A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation

A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation 2518 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 59, NO. 11, NOVEMBER 2012 A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise

More information

Quantum-effect Resonant Tunneling Device Technology for Practical Ultra Low-power High-speed Applications

Quantum-effect Resonant Tunneling Device Technology for Practical Ultra Low-power High-speed Applications Quantum-effect Resonant Tunneling Device Technology for Practical Ultra Low-power High-speed Applications SEMATECH Symposium October 23 rd, 2012 Prof. Kyounghoon Yang High Speed Nanoelectronics Laboratory

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

95GHz Receiver with Fundamental Frequency VCO and Static Frequency Divider in 65nm Digital CMOS

95GHz Receiver with Fundamental Frequency VCO and Static Frequency Divider in 65nm Digital CMOS 95GHz Receiver with Fundamental Frequency VCO and Static Frequency Divider in 65nm Digital CMOS Ekaterina Laskin, Mehdi Khanpour, Ricardo Aroca, Keith W. Tang, Patrice Garcia 1, Sorin P. Voinigescu University

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

Short Course On Phase-Locked Loops and Their Applications Day 5, AM Lecture. Advanced PLL Examples (Part I)

Short Course On Phase-Locked Loops and Their Applications Day 5, AM Lecture. Advanced PLL Examples (Part I) Short Course On Phase-Locked Loops and Their Applications Day 5, AM Lecture Advanced PLL Examples (Part I) Michael Perrott August 15, 2008 Copyright 2008 by Michael H. Perrott All rights reserved. Outline

More information

CLOCK AND DATA RECOVERY (CDR) circuits incorporating

CLOCK AND DATA RECOVERY (CDR) circuits incorporating IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1571 Brief Papers Analysis and Modeling of Bang-Bang Clock and Data Recovery Circuits Jri Lee, Member, IEEE, Kenneth S. Kundert, and

More information

THE rapid growing of last-mile solution such as passive optical

THE rapid growing of last-mile solution such as passive optical IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 3, MARCH 2008 619 A 20-Gb/s Burst-Mode Clock and Data Recovery Circuit Using Injection-Locking Technique Jri Lee, Member, IEEE, and Mingchung Liu Abstract

More information

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection Somnath Kundu 1, Bongjin Kim 1,2, Chris H. Kim 1 1

More information

CMOS 120 GHz Phase-Locked Loops Based on Two Different VCO Topologies

CMOS 120 GHz Phase-Locked Loops Based on Two Different VCO Topologies JOURNAL OF ELECTROMAGNETIC ENGINEERING AND SCIENCE, VOL. 17, NO. 2, 98~104, APR. 2017 http://dx.doi.org/10.5515/jkiees.2017.17.2.98 ISSN 2234-8395 (Online) ISSN 2234-8409 (Print) CMOS 120 GHz Phase-Locked

More information

A Dual-Step-Mixing ILFD using a Direct Injection Technique for High- Order Division Ratios in 60GHz Applications

A Dual-Step-Mixing ILFD using a Direct Injection Technique for High- Order Division Ratios in 60GHz Applications A Dual-Step-Mixing ILFD using a Direct Injection Technique for High- Order Division Ratios in 60GHz Applications Teerachot Siriburanon, Wei Deng, Ahmed Musa, Kenichi Okada, and Akira Matsuzawa Tokyo Institute

More information

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Engineering, Technology & Applied Science Research Vol. 7, No. 2, 2017, 1473-1477 1473 A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Hamidreza Esmaeili Taheri Department of Electronics

More information

Dedication. To Mum and Dad

Dedication. To Mum and Dad Dedication To Mum and Dad Acknowledgment Table of Contents List of Tables List of Figures A B A B 0 1 B A List of Abbreviations Abstract Chapter1 1 Introduction 1.1. Motivation Figure 1. 1 The relative

More information

622Mbps, Ultra-Low-Power, 3.3V Transimpedance Preamplifier for SDH/SONET

622Mbps, Ultra-Low-Power, 3.3V Transimpedance Preamplifier for SDH/SONET 19-1601; Rev 2; 11/05 EVALUATION KIT AVAILABLE 622Mbps, Ultra-Low-Power, 3.3V General Description The low-power transimpedance preamplifier for 622Mbps SDH/SONET applications consumes only 70mW at = 3.3V.

More information

A CMOS Clock and Data Recovery Circuit with a Half-Rate Three-State Phase Detector

A CMOS Clock and Data Recovery Circuit with a Half-Rate Three-State Phase Detector 746 PAPER Special Section on Analog Circuit and Device Technologies A CMOS Clock and Data Recovery Circuit with a Half-Rate Three-State Phase Detector Ching-Yuan YANG a), Member, Yu LEE, and Cheng-Hsing

More information

A Wide-Bandwidth 2.4GHz ISM Band Fractional-N PLL with Adaptive Phase Noise Cancellation. Outline

A Wide-Bandwidth 2.4GHz ISM Band Fractional-N PLL with Adaptive Phase Noise Cancellation. Outline A Wide-Bandwidth 2.4GHz ISM Band Fractional-N PLL with Adaptive Phase Noise Cancellation Ashok Swaminathan,2, Kevin J. Wang, Ian Galton University of California, San Diego, CA 2 NextWave Broadband, San

More information

A CMOS Multi-Gb/s 4-PAM Serial Link Transceiver*

A CMOS Multi-Gb/s 4-PAM Serial Link Transceiver* A CMOS Multi-Gb/s 4-PAM Serial Link Transceiver* March 11, 1999 Ramin Farjad-Rad Center for Integrated Systems Stanford University Stanford, CA 94305 *Funding from LSI Logic, SUN Microsystems, and Powell

More information

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard 0.13 µm CMOS SOI Technology School of Electrical and Electronic Engineering Yonsei University 이슬아 1. Introduction 2. Architecture

More information

Phase Locked Loop (PLL) based Clock and Data Recovery Circuits (CDR) using Calibrated Delay Flip Flop

Phase Locked Loop (PLL) based Clock and Data Recovery Circuits (CDR) using Calibrated Delay Flip Flop San Jose State University SJSU ScholarWorks Master's Theses Master's Theses and Graduate Research Summer 2014 Phase Locked Loop (PLL) based Clock and Data Recovery Circuits (CDR) using Calibrated Delay

More information

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.8

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.8 ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.8 26.8 A 2GHz CMOS Variable-Gain Amplifier with 50dB Linear-in-Magnitude Controlled Gain Range for 10GBase-LX4 Ethernet Chia-Hsin Wu, Chang-Shun Liu,

More information

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2 13.2 An MLSE Receiver for Electronic-Dispersion Compensation of OC-192 Fiber Links Hyeon-min Bae 1, Jonathan Ashbrook 1, Jinki Park 1, Naresh Shanbhag 2, Andrew Singer 2, Sanjiv Chopra 1 1 Intersymbol

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

Cost Effective Spread Spectrum Clock Generator Design Chulwoo Kim, Minyoung Song, Sewook Hwang

Cost Effective Spread Spectrum Clock Generator Design Chulwoo Kim, Minyoung Song, Sewook Hwang Cost Effective Spread Spectrum Clock Generator Design Chulwoo Kim, Minyoung Song, Sewook Hwang Advanced Integrated Systems Lab. Korea University, Seoul, Korea Outline Introduction Spread Spectrum Clock

More information

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 10.8 10Gb/s Limiting Amplifier and Laser/Modulator Driver in 0.18µm CMOS Technology Sherif Galal, Behzad Razavi Electrical Engineering

More information

A 40-Gb/s Clock and Data Recovery Circuit in 0.18-m CMOS Technology

A 40-Gb/s Clock and Data Recovery Circuit in 0.18-m CMOS Technology IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 12, DECEMBER 2003 2181 A 40-Gb/s Clock and Data Recovery Circuit in 0.18-m CMOS Technology Jri Lee, Student Member, IEEE, and Behzad Razavi, Fellow, IEEE

More information

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong Research and Development Activities in RF and Analog IC Design Howard Luong Analog Research Laboratory Department of Electrical and Electronic Engineering Hong Kong University of Science and Technology

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT PRADEEP G CHAGASHETTI Mr. H.V. RAVISH ARADHYA Department of E&C Department of E&C R.V.COLLEGE of ENGINEERING R.V.COLLEGE of ENGINEERING Bangalore

More information

TOP VIEW V CC 1 V CC 6. Maxim Integrated Products 1

TOP VIEW V CC 1 V CC 6. Maxim Integrated Products 1 19-3486; Rev 1; 11/5 1Gbps Clock and Data Recovery General Description The is a 1Gbps clock and data recovery (CDR) with limiting amplifier IC for XFP optical receivers. The and the MAX3992 (CDR with equalizer)

More information

A 10-Gb/s CMOS Clock and Data Recovery Circuit With a Half-Rate Binary Phase/Frequency Detector

A 10-Gb/s CMOS Clock and Data Recovery Circuit With a Half-Rate Binary Phase/Frequency Detector IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 13 A 10-Gb/s CMOS Clock and Data Recovery Circuit With a Half-Rate Binary Phase/Frequency Detector Jafar Savoj and Behzad Razavi, Fellow,

More information

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.6, NO.4, DECEMBER, 2006 281 A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration Tae-Geun Yu, Seong-Ik Cho, and Hang-Geun Jeong

More information

Integrated Circuit Design for High-Speed Frequency Synthesis

Integrated Circuit Design for High-Speed Frequency Synthesis Integrated Circuit Design for High-Speed Frequency Synthesis John Rogers Calvin Plett Foster Dai ARTECH H O US E BOSTON LONDON artechhouse.com Preface XI CHAPTER 1 Introduction 1 1.1 Introduction to Frequency

More information

2120 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 9, SEPTEMBER /$ IEEE

2120 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 9, SEPTEMBER /$ IEEE 2120 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 9, SEPTEMBER 2008 Design and Comparison of Three 20-Gb/s Backplane Transceivers for Duobinary, PAM4, and NRZ Data Jri Lee, Member, IEEE, Ming-Shuan

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 16: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project descriptions are posted on the website Preliminary

More information

+3.3V. C FIL 0.82μF SDI+ SDI- SLBI+ SLBI- +3.3V V CTRL V REF SIS LREF LOL RS1 SYSTEM LOOPBACK DATA +3.3V

+3.3V. C FIL 0.82μF SDI+ SDI- SLBI+ SLBI- +3.3V V CTRL V REF SIS LREF LOL RS1 SYSTEM LOOPBACK DATA +3.3V 19-2709; Rev 3; 2/07 EVALUATION KIT AVAILABLE Multirate Clock and Data Recovery General Description The is a compact, multirate clock and data recovery with limiting amplifier for OC-3, OC-12, OC-24, OC-48,

More information

A New Approach for Op-amp based VCO Design Using 0.18um CMOS Technology

A New Approach for Op-amp based VCO Design Using 0.18um CMOS Technology International Journal of Industrial Electronics and Control. ISSN 0974-2220 Volume 6, Number 1 (2014), pp. 1-5 International Research Publication House http://www.irphouse.com A New Approach for Op-amp

More information

D f ref. Low V dd (~ 1.8V) f in = D f ref

D f ref. Low V dd (~ 1.8V) f in = D f ref A 5.3 GHz Programmable Divider for HiPerLAN in 0.25µm CMOS N. Krishnapura 1 & P. Kinget 2 Lucent Technologies, Bell Laboratories, USA. 1 Currently at Columbia University, New York, NY, 10027, USA. 2 Currently

More information

2.1GHz. 2.1GHz 300nA RMS SFP OPTICAL RECEIVER IN+ MAX3748A IN- RSSI DISABLE LOS DS1858/DS1859 SFP. Maxim Integrated Products 1

2.1GHz. 2.1GHz 300nA RMS SFP OPTICAL RECEIVER IN+ MAX3748A IN- RSSI DISABLE LOS DS1858/DS1859 SFP. Maxim Integrated Products 1 19-2927; Rev 1; 8/03 RSSI (BW) 0.85pF 330nA 2mA P-P 2.7Gbps 2.1GHz +3.3V 93mW / 30-mil x 50-mil 580Ω TO-46 TO-56 MAX3748A Maxim RSSI MAX3748A DS1858/DS1859 SFP SFF-8472 2.7Gbps SFF/SFP (SFP) * 2.7Gbps

More information

Design and Characterization of a 10 Gb/s Clock and Data Recovery Circuit Implemented with Phase-Locked Loop

Design and Characterization of a 10 Gb/s Clock and Data Recovery Circuit Implemented with Phase-Locked Loop Design and Characterization of a Clock and Recovery Implemented with -Locked Loop Jae Ho Song a), Tae Whan Yoo, Jeong Hoon Ko, Chang Soo Park, and Jae Keun Kim A clock and data recovery circuit with a

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2 20.2 A Digitally Calibrated 5.15-5.825GHz Transceiver for 802.11a Wireless LANs in 0.18µm CMOS I. Bouras 1, S. Bouras 1, T. Georgantas

More information

15.3 A 9.9G-10.8Gb/s Rate-Adaptive Clock and Data-Recovery with No External Reference Clock for WDM Optical Fiber Transmission.

15.3 A 9.9G-10.8Gb/s Rate-Adaptive Clock and Data-Recovery with No External Reference Clock for WDM Optical Fiber Transmission. 15.3 A 9.9G-10.8Gb/s Rate-Adaptive Clock and Data-Recovery with No External Reference Clock for WDM Optical Fiber Transmission. H. Noguchi, T. Tateyama, M. Okamoto, H. Uchida, M. Kimura, K. Takahashi Fiber

More information

Analysis of phase Locked Loop using Ring Voltage Controlled Oscillator

Analysis of phase Locked Loop using Ring Voltage Controlled Oscillator Analysis of phase Locked Loop using Ring Voltage Controlled Oscillator Abhishek Mishra Department of electronics &communication, suresh gyan vihar university Mahal jagatpura, jaipur (raj.), india Abstract-There

More information

14:40 ~ 15:00 Coffee Break

14:40 ~ 15:00 Coffee Break 13:00 ~ 13:20 TMW : uplicated Transition Monitoring Window for Low Power Test based on Pseudo- Random BIST Youbean Kim, ongsup Song, Kicheol Kim, Incheol Kim, Sungho Kang, Yonsei Universiry 13:20 ~ 13:40

More information

A 25MS/s 14b 200mW Σ Modulator in 0.18µm CMOS

A 25MS/s 14b 200mW Σ Modulator in 0.18µm CMOS UT Mixed-Signal/RF Integrated Circuits Seminar Series A 25MS/s 14b 200mW Σ Modulator in 0.18µm CMOS Pio Balmelli April 19 th, Austin TX 2 Outline VDSL specifications Σ A/D converter features Broadband

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 12: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report #2 due Apr. 20 Expand

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 010 Lecture 3: CDR Wrap-Up Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam is April 30 Will emphasize

More information

** Dice/wafers are designed to operate from -40 C to +85 C, but +3.3V. V CC LIMITING AMPLIFIER C FILTER 470pF PHOTODIODE FILTER OUT+ IN TIA OUT-

** Dice/wafers are designed to operate from -40 C to +85 C, but +3.3V. V CC LIMITING AMPLIFIER C FILTER 470pF PHOTODIODE FILTER OUT+ IN TIA OUT- 19-2105; Rev 2; 7/06 +3.3V, 2.5Gbps Low-Power General Description The transimpedance amplifier provides a compact low-power solution for 2.5Gbps communications. It features 495nA input-referred noise,

More information

Phase-Locked Loops and Their Applications. Advanced PLL Examples (Part II)

Phase-Locked Loops and Their Applications. Advanced PLL Examples (Part II) Short Course On Phase-Locked Loops and Their Applications Day 5, PM Lecture Advanced PLL Examples (Part II) Michael Perrott August 15, 2008 Copyright 2008 by Michael H. Perrott All rights reserved. Outline

More information

High Performance Digital Fractional-N Frequency Synthesizers

High Performance Digital Fractional-N Frequency Synthesizers High Performance Digital Fractional-N Frequency Synthesizers Michael Perrott October 16, 2008 Copyright 2008 by Michael H. Perrott All rights reserved. Why Are Digital Phase-Locked Loops Interesting? PLLs

More information

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab.

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab. High-Speed Circuits and Systems Laboratory B.M.Yu 1 Content 1. Introduction 2. Pre-emphasis 1. Amplitude pre-emphasis 2. Phase pre-emphasis 3. Circuit implantation 4. Result 5. Conclusion 2 Introduction

More information

Decoupling Technique for Reducing Sensitivity of Differential Pairs to Power-Supply-Induced Jitter

Decoupling Technique for Reducing Sensitivity of Differential Pairs to Power-Supply-Induced Jitter Decoupling Technique for Reducing Sensitivity of Differential Pairs to Power-Supply-Induced Jitter John McNeill Vladimir Zlatkovic David Bowler Lawrence M. DeVito ANALOG DEVICES Application Presentation

More information

5Gbps Serial Link Transmitter with Pre-emphasis

5Gbps Serial Link Transmitter with Pre-emphasis Gbps Serial Link Transmitter with Pre-emphasis Chih-Hsien Lin, Chung-Hong Wang and Shyh-Jye Jou Department of Electrical Engineering,National Central University,Chung-Li, Taiwan R.O.C. Abstract- High-speed

More information

Analysis and Design of Robust Multi-Gb/s Clock and Data Recovery Circuits

Analysis and Design of Robust Multi-Gb/s Clock and Data Recovery Circuits Analysis and Design of Robust Multi-Gb/s Clock and Data Recovery Circuits by David J. Rennie A thesis presented to the University of Waterloo in fulfillment of the thesis requirement for the degree of

More information

Optimization of Digitally Controlled Oscillator with Low Power

Optimization of Digitally Controlled Oscillator with Low Power IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. I (Nov -Dec. 2015), PP 52-57 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Optimization of Digitally Controlled

More information

HIGH-SPEED wireline transceivers (TRx) continue to

HIGH-SPEED wireline transceivers (TRx) continue to IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 47, NO. 3, MARCH 2012 627 A Fully-Integrated 40-Gb/s Transceiver in 65-nm CMOS Technology Ming-Shuan Chen, Yu-Nan Shih, Chen-Lun Lin, Hao-Wei Hung, and Jri Lee,

More information

A CMOS Frequency Synthesizer with an Injection-Locked Frequency Divider for a 5 GHz Wireless LAN Receiver. Hamid Rategh

A CMOS Frequency Synthesizer with an Injection-Locked Frequency Divider for a 5 GHz Wireless LAN Receiver. Hamid Rategh A CMOS Frequency Synthesizer with an Injection-Locked Frequency Divider for a 5 GHz Wireless LAN Receiver Hamid Rategh Center for Integrated Systems Stanford University OUTLINE Motivation Introduction

More information

ISSCC 2004 / SESSION 21/ 21.1

ISSCC 2004 / SESSION 21/ 21.1 ISSCC 2004 / SESSION 21/ 21.1 21.1 Circular-Geometry Oscillators R. Aparicio, A. Hajimiri California Institute of Technology, Pasadena, CA Demand for faster data rates in wireline and wireless markets

More information

A 19-GHz Broadband Amplifier Using a g m -Boosted Cascode in 0.18-μm CMOS

A 19-GHz Broadband Amplifier Using a g m -Boosted Cascode in 0.18-μm CMOS A 19-GHz Broadband Amplifier Using a g m -Boosted Cascode in 0.18-μm CMOS Masum Hossain & Anthony Chan Carusone Electrical & Computer Engineering University of Toronto Outline Applications g m -Boosting

More information

Low Power Phase Locked Loop Design with Minimum Jitter

Low Power Phase Locked Loop Design with Minimum Jitter Low Power Phase Locked Loop Design with Minimum Jitter Krishna B. Makwana, Prof. Naresh Patel PG Student (VLSI Technology), Dept. of ECE, Vishwakarma Engineering College, Chandkheda, Gujarat, India Assistant

More information

A Modular All Digital PLL Architecture Enabling Both 1-to-2 GHz and 24-to 32-GHz Operation in 65nm CMOS

A Modular All Digital PLL Architecture Enabling Both 1-to-2 GHz and 24-to 32-GHz Operation in 65nm CMOS A Modular All Digital PLL Architecture Enabling Both 1-to-2 GHz and 24-to 32-GHz Operation in 65nm CMOS A. V. Rylyakov 1, J. A. Tierno 1, D. Z. Turker 2, J.-O. Plouchart 1 H. A. Ainspan 1, D. J. Friedman

More information

Gert Veale / Christo Nel Grintek Ewation

Gert Veale / Christo Nel Grintek Ewation Phase noise in RF synthesizers Gert Veale / Christo Nel Grintek Ewation Introduction & Overview Where are RF synthesizers used? What is phase noise? Phase noise eects Classic RF synthesizer architecture

More information

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique ECE1352 Term Paper Low Voltage Phase-Locked Loop Design Technique Name: Eric Hu Student Number: 982123400 Date: Nov. 14, 2002 Table of Contents Abstract pg. 04 Chapter 1 Introduction.. pg. 04 Chapter 2

More information

*Exposed pad MAX3780 CABLE TRANSCEIVER SUPPLY FILTER NETWORK. Maxim Integrated Products 1

*Exposed pad MAX3780 CABLE TRANSCEIVER SUPPLY FILTER NETWORK. Maxim Integrated Products 1 19-2247; Rev ; 1/1 uad 2.5Gbps Cable Transceiver General escription The cable transceiver provides a bidirectional interface of four 2.5Gbps channels over low-cost copper cable or external fiber-optic

More information

A Wide Tuning Range (1 GHz-to-15 GHz) Fractional-N All-Digital PLL in 45nm SOI

A Wide Tuning Range (1 GHz-to-15 GHz) Fractional-N All-Digital PLL in 45nm SOI 7- A Wide Tuning Range ( GHz-to-5 GHz) Fractional-N All-Digital PLL in 45nm SOI Alexander Rylyakov, Jose Tierno, George English 2, Michael Sperling 2, Daniel Friedman IBM T. J. Watson Research Center Yorktown

More information

1.25Gbps/2.5Gbps, +3V to +5.5V, Low-Noise Transimpedance Preamplifiers for LANs

1.25Gbps/2.5Gbps, +3V to +5.5V, Low-Noise Transimpedance Preamplifiers for LANs 19-4796; Rev 1; 6/00 EVALUATION KIT AVAILABLE 1.25Gbps/2.5Gbps, +3V to +5.5V, Low-Noise General Description The is a transimpedance preamplifier for 1.25Gbps local area network (LAN) fiber optic receivers.

More information