D f ref. Low V dd (~ 1.8V) f in = D f ref

Size: px
Start display at page:

Download "D f ref. Low V dd (~ 1.8V) f in = D f ref"

Transcription

1 A 5.3 GHz Programmable Divider for HiPerLAN in 0.25µm CMOS N. Krishnapura 1 & P. Kinget 2 Lucent Technologies, Bell Laboratories, USA. 1 Currently at Columbia University, New York, NY, 10027, USA. 2 Currently at Broadcom, Irvine, CA, USA.

2 Programmable divider for a HiPerLAN carrier synthesizer(5 channels) f ref MHz phase detector loop filter VCO D f ref 0.25µm CMOS Low (~ 1.8V) Programmability D: {220, 224} D f in = D f ref high input frequency ( GHz) ~ 300 mv pk (~ 0 dbm)

3 Outline Divider architecture: Phase switching. Timing issues. Solution: Signal retiming. Circuit implementation: High speed 2(D-Flip Flop) stage. Measurement results. Comparison & conclusions.

4 Phase switching divider 5.3 GHz 2.6 GHz 1.3 GHz pulse width = 23 MHz f in f in /2 4T in or 5T in f in /(4N+K) /2 0 o 90 o 180 o 270 o f in /4 X Y XB YB MUX /N SX SY SXB SYB rising edges separated by 1 cycle of f in K times per output cycle [Craninckx, JSSC 96] DECODER 4 STATE MACHINE K pulses per output cycle PULSE GENERATOR Division factor D K phase switches per output cycle: (4N + K) + No high speed feedback loops around multiple flip-flops.

5 f in Glitches Retiming X 1/4 f in Y X Y SY OUT elongated pulse SY OUT spurious pulse SY YON OUT modified control timing correct 4 T in 5 T in 4 T in 4 T in 5 T in incorrect clock-x to clock-y when both X & Y are in the same state. Retimer circuit: enforces control timing for each of the four possible clock transitions.

6 Phase switching divider with retiming retimed clocks and controls MUX f in f in /2 f in /(4N+K) /2 0 o 90 o 180 o 270 o RETIMER /N rising edges separated by 1 cycle of f in un-synchronized control signals DECODER 4 STATE MACHINE PULSE GENERATOR 4 to 8 pulses per output cycle Division factor D Retimer inserted after the second stage.

7 four parallel circuits Retimer: Implementation X Y SY Y X Y SY XB Y SXB YON 0 RETIMER MUX (a) (b) CY clock buffer control generator XB Y SXB Y YON to MUX CY New control generated when both clocks are high. Clock and control go through identical paths. Feedforward operation high speed. multiplexed output

8 High speed 2 stages / latches pmos in signal path CLK (TSPC) OUT stacked devices too little headroom Q Q D D CLK Q Q D D I bias CLK CLKB (Razavi 95) (source coupled logic) Goals: Low (1.8 V) & high speed(5.5 GHz) pmos: much smaller drive than nmos.

9 Pseudo-nMOS low voltage latch Q Q D D CLK CLK CLKB 0.25µm CMOS, = 1.8 V: 3 stage ring osc. CMOS: 2.8 GHz. pseudo-nmos: 6 GHz.

10 5.5 GHz 2 stage CLK CLK CLKB CLK CLKB 0 o 180 o input ac coupling CLKB CLK CLKB 5.5 GHz 2 with 300mV pk (SE) inputs at = 1.8V. Disabled by pulling CLK, CLKB inputs to the rails.

11 Programmable divider retimed clocks and controls f in /2 0 o 90 o 180 o 270 o MUX f in /2 RETIMER /2 /3 /3 /3 rising edges separated by 1 cycle of f in un-synchronized control signals DECODER 4 STATE MACHINE PULSE GENERATOR 4 to 8 pulses per output cycle Division factor D {220,, 224} = {4,,8} = {4,,8} 3 stages-similar to 2, with gated input branches. f in /(216+K)

12 3 stage LOGIC IMPLEMENTATION D Q Q D 1 D Q D f clk /3 f 2 clk Q Q Q Q Q CLK CLKB CLK differential realization CLKB D 1 D 2 D 1 CLK D 2 CLKB AND gate: combined with the DFF input branches.

13 inp divider ~0.09 mm 2 inn Chip Photograph o/p buffer out gnd 1 st 2 2 nd 2 retimer other logic last 4 stages

14 Measurements: Sensitivity = 1.8V = 2.0V = 2.2V V = 1.8V dd V = 2.2V dd f / GHz GHz operation with = 2.2 V, 300mV pk (SE) input. Changed technology: major discrepancy between models and process. V i, pk (SE) / V V i, pk (SE) / V f / GHz

15 Phase noise measurement setup POWER SPLITTER DIVIDER 1 DIVIDER 2 PHASE SHIFT 90 o PHASE DETECTOR SPECTRUM ANALYZER SIGNAL ANALYZER HP PHASE NOISE MEASUREMENT SYSTEM(HP 3048) Divider contributes phase noise inside the loop bandwidth. The inputs to the phase detector are 90 o apart. Measured noise = 3 db + noise of each divider. Input referred phase noise(@ 5.5 GHz): + 47dB (220x). HP83712B

16 Measurements: Phase Noise o/p phase noise from 2 dividers & o/p buffers. ~ khz offset. 1/f behavior down to 1Hz.

17 Summary Technology 0.25 µm CMOS Chip Area 0.09 mm 2 Vdd 2.2 V I(Vdd) 26.8 ma fin, max 5.5 GHz Sensitivity 300 mv pk., SE o/p phase noise (5.5 GHz signal i/p) -131 dbc / 1 khz Vdd 1.8 V I(Vdd) 17.4 ma fin, max 4.5 GHz Sensitivity 300 mv pk., SE o/p phase noise (4.5 GHz signal i/p) -133 dbc / 1 khz

18 Comparison of CMOS dividers This work Tech. fin, max GHz Vdd V Pd mw Input Vpk 0.25 µm De Muer 98 8/9 0.7 µm Kurizu µm Craninckx µm Razavi µm Foroudi µm Cong 88 4/5 0.4 µm Maeda µm Phase Noise (input ref.) dbc/hz@1khz

19 Conclusions Programmable divider for HiPerLAN in CMOS. Retiming circuit for reliable phase switching. 5.5 GHz low voltage 2 stage in 0.25µm CMOS. Low phase noise achieved at a high input frequency.

20 Acknowledgments W. Fischer for layout, V. Boccuzzi for testing. A. Dunlop, M. Banu, R. Melville, H. Wang for test equipment and support.

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN 5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE 802.11a/b/g WLAN Manolis Terrovitis, Michael Mack, Kalwant Singh, and Masoud Zargari 1 Atheros Communications, Sunnyvale, California 1 Atheros

More information

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers Michael H. Perrott March 19, 2004 Copyright 2004 by Michael H. Perrott All rights reserved. 1 High Speed Frequency

More information

A CMOS Frequency Synthesizer with an Injection-Locked Frequency Divider for a 5 GHz Wireless LAN Receiver. Hamid Rategh

A CMOS Frequency Synthesizer with an Injection-Locked Frequency Divider for a 5 GHz Wireless LAN Receiver. Hamid Rategh A CMOS Frequency Synthesizer with an Injection-Locked Frequency Divider for a 5 GHz Wireless LAN Receiver Hamid Rategh Center for Integrated Systems Stanford University OUTLINE Motivation Introduction

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection Somnath Kundu 1, Bongjin Kim 1,2, Chris H. Kim 1 1

More information

A 5GHz, 32mW CMOS Frequency Synthesizer with an Injection Locked Frequency Divider. Hamid Rategh, Hirad Samavati, Thomas Lee

A 5GHz, 32mW CMOS Frequency Synthesizer with an Injection Locked Frequency Divider. Hamid Rategh, Hirad Samavati, Thomas Lee A 5GHz, 32mW CMOS Frequency Synthesizer with an Injection Locked Frequency Divider Hamid Rategh, Hirad Samavati, Thomas Lee OUTLINE motivation introduction synthesizer architecture synthesizer building

More information

Hong Kong University of Science and Technology. A 2-V 900-MHz Monolithic CMOS Dual-Loop Frequency Synthesizer for GSM Receivers

Hong Kong University of Science and Technology. A 2-V 900-MHz Monolithic CMOS Dual-Loop Frequency Synthesizer for GSM Receivers Hong Kong University of Science and Technology A -V 900-MHz Monolithic CMOS Dual-Loop Frequency Synthesizer for GSM Receivers A thesis submitted to The Hong Kong University of Science and Technology in

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 8: Charge Pump Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda HW2 is due Oct 6 Exam 1 is

More information

The Effect of Substrate Noise on VCO Performance

The Effect of Substrate Noise on VCO Performance (RTU4A-1) The Effect of Substrate Noise on VCO Performance Nisha Checka, David D. Wentzloff, Anantha Chandrakasan, Rafael Reif Microsystems Technology Laboratory, MIT 60 Vassar St. Rm. 39-625 Cambridge,

More information

Converter IC for Cellular Phone. Mode Digitally-Controlled Buck. A 4 µa-quiescent-current Dual- Applications. Jianhui Zhang Prof.

Converter IC for Cellular Phone. Mode Digitally-Controlled Buck. A 4 µa-quiescent-current Dual- Applications. Jianhui Zhang Prof. A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications Jinwen Xiao Angel Peterchev Jianhui Zhang Prof. Seth Sanders Power Electronics Group Dept. of

More information

A Dual-Step-Mixing ILFD using a Direct Injection Technique for High- Order Division Ratios in 60GHz Applications

A Dual-Step-Mixing ILFD using a Direct Injection Technique for High- Order Division Ratios in 60GHz Applications A Dual-Step-Mixing ILFD using a Direct Injection Technique for High- Order Division Ratios in 60GHz Applications Teerachot Siriburanon, Wei Deng, Ahmed Musa, Kenichi Okada, and Akira Matsuzawa Tokyo Institute

More information

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency Jamie E. Reinhold December 15, 2011 Abstract The design, simulation and layout of a UMAINE ECE Morse code Read Only Memory and transmitter

More information

Low Phase Noise CMOS Ring Oscillator VCOs for Frequency Synthesis

Low Phase Noise CMOS Ring Oscillator VCOs for Frequency Synthesis Low Phase Noise CMOS Ring Oscillator VCOs for Frequency Synthesis July 27, 1998 Rafael J. Betancourt Zamora and Thomas H. Lee Stanford Microwave Integrated Circuits Laboratory jeihgfdcbabakl Paul G. Allen

More information

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT PRADEEP G CHAGASHETTI Mr. H.V. RAVISH ARADHYA Department of E&C Department of E&C R.V.COLLEGE of ENGINEERING R.V.COLLEGE of ENGINEERING Bangalore

More information

NEW WIRELESS applications are emerging where

NEW WIRELESS applications are emerging where IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 709 A Multiply-by-3 Coupled-Ring Oscillator for Low-Power Frequency Synthesis Shwetabh Verma, Member, IEEE, Junfeng Xu, and Thomas H. Lee,

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN60: Network Theory Broadband Circuit Design Fall 014 Lecture 13: Frequency Synthesizer Examples Sam Palermo Analog & Mixed-Signal Center Texas A&M University Agenda Frequency Synthesizer Examples Design

More information

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren Joint International Mechanical, Electronic and Information Technology Conference (JIMET 2015) A 14-bit 2.5 GS/s based on Multi-Clock Synchronization Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng,

More information

A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications

A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications Jinwen Xiao Angel Peterchev Jianhui Zhang Prof. Seth Sanders Power Electronics Group Dept. of

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems M. Meghelli 1, A. Rylyakov 1, S. J. Zier 2, M. Sorna 2, D. Friedman 1 1 IBM T. J. Watson Research Center 2 IBM

More information

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits Lec Sequential CMOS Logic Circuits Sequential Logic In Combinational Logic circuit Out Memory Sequential The output is determined by Current inputs Previous inputs Output = f(in, Previous In) The regenerative

More information

A Low Phase Noise 24/77 GHz Dual-Band Sub-Sampling PLL for Automotive Radar Applications in 65 nm CMOS Technology

A Low Phase Noise 24/77 GHz Dual-Band Sub-Sampling PLL for Automotive Radar Applications in 65 nm CMOS Technology A Low Phase Noise 24/77 GHz Dual-Band Sub-Sampling PLL for Automotive Radar Applications in 65 nm CMOS Technology Xiang Yi, Chirn Chye Boon, Junyi Sun, Nan Huang and Wei Meng Lim VIRTUS, Nanyang Technological

More information

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 LECTURE 160 CDR EXAMPLES INTRODUCTION Objective The objective of this presentation is: 1.) Show two examples of clock and data recovery

More information

Design of a 3.3-V 1-GHz CMOS Phase Locked Loop with a Two-Stage Self-Feedback Ring Oscillator

Design of a 3.3-V 1-GHz CMOS Phase Locked Loop with a Two-Stage Self-Feedback Ring Oscillator Journal of the Korean Physical Society, Vol. 37, No. 6, December 2000, pp. 803 807 Design of a 3.3-V 1-GHz CMOS Phase Locked Loop with a Two-Stage Self-Feedback Ring Oscillator Yeon Kug Moon Korea Advanced

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN620: Network Theory Broadband Circuit Design Fall 2012 Lecture 11: Charge Pump Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda Exam 1 is on Wed. Oct 3

More information

26.8: A 1.9GHz Single-Chip CMOS PHS Cellphone

26.8: A 1.9GHz Single-Chip CMOS PHS Cellphone 26.8: A 1.9GHz Single-Chip CMOS PHS Cellphone William W. Si, Srenik Mehta, Hirad Samavati, Manolis Terrovitis, Michael Mack, KeithOnodera, SteveJen, Susan Luschas, Justin Hwang, SuniMendis, DavidSu, BruceWooley

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED www.analog.com www.hittite.com THIS PAGE INTENTIONALLY LEFT BLANK Typical Applications The HMC440QS16G(E)

More information

REDUCING power consumption and enhancing energy

REDUCING power consumption and enhancing energy 548 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 63, NO. 6, JUNE 2016 A Low-Voltage PLL With a Supply-Noise Compensated Feedforward Ring VCO Sung-Geun Kim, Jinsoo Rhim, Student Member,

More information

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique James Lin, Masaya Miyahara and Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Laḃ

More information

Multiple Reference Clock Generator

Multiple Reference Clock Generator A White Paper Presented by IPextreme Multiple Reference Clock Generator Digitial IP for Clock Synthesis August 2007 IPextreme, Inc. This paper explains the concept behind the Multiple Reference Clock Generator

More information

THE serial advanced technology attachment (SATA) is becoming

THE serial advanced technology attachment (SATA) is becoming IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 11, NOVEMBER 2007 979 A Low-Jitter Spread Spectrum Clock Generator Using FDMP Ding-Shiuan Shen and Shen-Iuan Liu, Senior Member,

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator*

A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator* WP 23.6 A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator* Christopher Lam, Behzad Razavi University of California, Los Angeles, CA New wireless local area network (WLAN) standards have recently emerged

More information

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition P. K. Rout, B. P. Panda, D. P. Acharya and G. Panda 1 Department of Electronics and Communication Engineering, School of Electrical

More information

5.4: A 5GHz CMOS Transceiver for IEEE a Wireless LAN

5.4: A 5GHz CMOS Transceiver for IEEE a Wireless LAN 5.4: A 5GHz CMOS Transceiver for IEEE 802.11a Wireless LAN David Su, Masoud Zargari, Patrick Yue, Shahriar Rabii, David Weber, Brian Kaczynski, Srenik Mehta, Kalwant Singh, Sunetra Mendis, and Bruce Wooley

More information

Design and Analysis of a Wide Loop-Bandwidth RF Synthesizer Using Ring oscillator For DECT Receiver

Design and Analysis of a Wide Loop-Bandwidth RF Synthesizer Using Ring oscillator For DECT Receiver University of Tennessee, Knoxville Trace: Tennessee Research and Creative Exchange Masters Theses Graduate School 5-003 Design and Analysis of a Wide Loop-Bandwidth RF Synthesizer Using Ring oscillator

More information

Java Bread Board Introductory Digital Electronics Exercise 2, Page 1

Java Bread Board Introductory Digital Electronics Exercise 2, Page 1 Java Bread Board Introductory Digital Electronics Exercise 2, Page 1 JBB Excercise 2 The aim of this lab is to demonstrate how basic logic gates can be used to implement simple memory functions, introduce

More information

20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS. Masum Hossain & Tony Chan Carusone University of Toronto

20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS. Masum Hossain & Tony Chan Carusone University of Toronto 20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS Masum Hossain & Tony Chan Carusone University of Toronto masum@eecg.utoronto.ca Motivation Data Rx3 Rx2 D-FF D-FF Rx1 D-FF Clock Clock

More information

A Design of RF Based Programmable Frequency Divider for IEEE a Wireless Access

A Design of RF Based Programmable Frequency Divider for IEEE a Wireless Access IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) ISSN: 2278-2834, ISBN: 2278-8735. Volume 4, Issue 1 (Nov. - Dec. 2012), PP 01-10 A Design of RF Based Programmable Frequency Divider

More information

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute. " From state elements

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute.  From state elements ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: April 2, 2019 Sequential Logic, Timing Hazards and Dynamic Logic Lecture Outline! Sequential Logic! Timing Hazards! Dynamic Logic 4 Sequential

More information

60 GHz RX. Waveguide Receiver Module. Features. Applications. Data Sheet V60RXWG3. VubIQ, Inc

60 GHz RX. Waveguide Receiver Module. Features. Applications. Data Sheet V60RXWG3. VubIQ, Inc GHz RX VRXWG Features Complete millimeter wave receiver WR-, UG-8/U flange Operates in the to GHz unlicensed band db noise figure Up to.8 GHz modulation bandwidth I/Q analog baseband interface Integrated

More information

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation 196 LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation Ching-Yuan YANG a), Member and Jung-Mao LIN, Nonmember SUMMARY In this letter, a 1.25-Gb/s 0.18-µm

More information

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.287 ISSN(Online) 2233-4866 A 10-Gb/s Multiphase Clock and Data Recovery

More information

Study and Implementation of Phase Frequency Detector and Frequency Divider 45nm using CMOS Technology

Study and Implementation of Phase Frequency Detector and Frequency Divider 45nm using CMOS Technology Study and Implementation of Phase Frequency Detector and Frequency Divider 45nm using CMOS Technology Dhaval Modi Electronics and Communication, L. D. College of Engineering, Ahmedabad, India Abstract--This

More information

Low voltage LNA, mixer and VCO 1GHz

Low voltage LNA, mixer and VCO 1GHz DESCRIPTION The is a combined RF amplifier, VCO with tracking bandpass filter and mixer designed for high-performance low-power communication systems from 800-1200MHz. The low-noise preamplifier has a

More information

A 3-10GHz Ultra-Wideband Pulser

A 3-10GHz Ultra-Wideband Pulser A 3-10GHz Ultra-Wideband Pulser Jan M. Rabaey Simone Gambini Davide Guermandi Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2006-136 http://www.eecs.berkeley.edu/pubs/techrpts/2006/eecs-2006-136.html

More information

Frequency Synthesizers for RF Transceivers. Domine Leenaerts Philips Research Labs.

Frequency Synthesizers for RF Transceivers. Domine Leenaerts Philips Research Labs. Frequency Synthesizers for RF Transceivers Domine Leenaerts Philips Research Labs. Purpose Overview of synthesizer architectures for RF transceivers Discuss the most challenging RF building blocks Technology

More information

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 380 391 A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator Seok KIM 1, Seung-Taek YOO 1,2,

More information

ericssonz LBI-38640E MAINTENANCE MANUAL FOR VHF TRANSMITTER SYNTHESIZER MODULE 19D902780G1 DESCRIPTION

ericssonz LBI-38640E MAINTENANCE MANUAL FOR VHF TRANSMITTER SYNTHESIZER MODULE 19D902780G1 DESCRIPTION MAINTENANCE MANUAL FOR VHF TRANSMITTER SYNTHESIZER MODULE 19D902780G1 TABLE OF CONTENTS Page DESCRIPTION........................................... Front Cover GENERAL SPECIFICATIONS...................................

More information

SiNANO-NEREID Workshop:

SiNANO-NEREID Workshop: SiNANO-NEREID Workshop: Towards a new NanoElectronics Roadmap for Europe Leuven, September 11 th, 2017 WP3/Task 3.2 Connectivity RF and mmw Design Outline Connectivity, what connectivity? High data rates

More information

7 GHz INTEGER N SYNTHESIZER CONTINUOUS (N = ), NON-CONTINUOUS (N = 16-54) Features

7 GHz INTEGER N SYNTHESIZER CONTINUOUS (N = ), NON-CONTINUOUS (N = 16-54) Features HMC99LP5 / 99LP5E CONTINUOUS (N = 5-519), NON-CONTINUOUS (N = 1-54) Typical Applications The HMC99LP5(E) is ideal for: Satellite Communication Systems Point-to-Point Radios Military Applications Sonet

More information

Design and Implementation of High-Speed CMOS Clock and Data Recovery Circuit for Optical Interconnection Applications. Seong-Jun Song. Dec.

Design and Implementation of High-Speed CMOS Clock and Data Recovery Circuit for Optical Interconnection Applications. Seong-Jun Song. Dec. MS Thesis esign and Implementation of High-Speed CMOS Clock and ata Recovery Circuit for Optical Interconnection Applications Seong-Jun Song ec. 20, 2002 oratory, epartment of Electrical Engineering and

More information

60 GHz Receiver (Rx) Waveguide Module

60 GHz Receiver (Rx) Waveguide Module The PEM is a highly integrated millimeter wave receiver that covers the GHz global unlicensed spectrum allocations packaged in a standard waveguide module. Receiver architecture is a double conversion,

More information

A Low-Jitter MHz DLL Based on a Simple PD and Common-Mode Voltage Level Corrected Differential Delay Elements

A Low-Jitter MHz DLL Based on a Simple PD and Common-Mode Voltage Level Corrected Differential Delay Elements Journal of Information Systems and Telecommunication, Vol. 2, No. 3, July-September 2014 166 A Low-Jitter 20-110MHz DLL Based on a Simple PD and Common-Mode Voltage Level Corrected Differential Delay Elements

More information

A Wide-Bandwidth 2.4GHz ISM Band Fractional-N PLL with Adaptive Phase Noise Cancellation. Outline

A Wide-Bandwidth 2.4GHz ISM Band Fractional-N PLL with Adaptive Phase Noise Cancellation. Outline A Wide-Bandwidth 2.4GHz ISM Band Fractional-N PLL with Adaptive Phase Noise Cancellation Ashok Swaminathan,2, Kevin J. Wang, Ian Galton University of California, San Diego, CA 2 NextWave Broadband, San

More information

DS H01 DIGITAL SYNTHESIZER MODULE SYSTEM SOLUTIONS. Features Applications 174 x 131 x 54 mm. Technical Description

DS H01 DIGITAL SYNTHESIZER MODULE SYSTEM SOLUTIONS. Features Applications 174 x 131 x 54 mm. Technical Description DS H01 The DS H01 is a high performance dual digital synthesizer with wide output bandwidth specially designed for Defense applications where generation of wideband ultra-low noise signals along with very

More information

Radio-Frequency Conversion and Synthesis (for a 115mW GPS Receiver)

Radio-Frequency Conversion and Synthesis (for a 115mW GPS Receiver) Radio-Frequency Conversion and Synthesis (for a 115mW GPS Receiver) Arvin Shahani Stanford University Overview GPS Overview Frequency Conversion Frequency Synthesis Conclusion GPS Overview: Signal Structure

More information

Sudatta Mohanty, Madhusmita Panda, Dr Ashis kumar Mal

Sudatta Mohanty, Madhusmita Panda, Dr Ashis kumar Mal International Journal of Scientific & Engineering Research, Volume 5, Issue 5, May-2014 45 Design and Performance Analysis of a Phase Locked Loop using Differential Voltage Controlled Oscillator Sudatta

More information

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter University of Pennsylvania ScholarlyCommons epartmental Papers (ESE) epartment of Electrical & Systems Engineering 7-1-2003 A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

THE reference spur for a phase-locked loop (PLL) is generated

THE reference spur for a phase-locked loop (PLL) is generated IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 8, AUGUST 2007 653 Spur-Suppression Techniques for Frequency Synthesizers Che-Fu Liang, Student Member, IEEE, Hsin-Hua Chen, and

More information

LMX2430/LMX2433/LMX2434 PLLatinum Dual High Frequency Synthesizer for RF Personal

LMX2430/LMX2433/LMX2434 PLLatinum Dual High Frequency Synthesizer for RF Personal PLLatinum Dual High Frequency Synthesizer for RF Personal Communications LMX2430 3.0 GHz/0.8 GHz LMX2433 3.6 GHz/1.7 GHz LMX2434 5.0 GHz/2.5 GHz General Description The LMX243x devices are high performance

More information

A Fast Locking Digital Phase-Locked Loop using Frequency Difference Stage

A Fast Locking Digital Phase-Locked Loop using Frequency Difference Stage International Journal of Engineering & Technology IJET-IJENS Vol:14 No:04 75 A Fast Locking Digital Phase-Locked Loop using Frequency Difference Stage Mohamed A. Ahmed, Heba A. Shawkey, Hamed A. Elsemary,

More information

Multiplexer for Capacitive sensors

Multiplexer for Capacitive sensors DATASHEET Multiplexer for Capacitive sensors Multiplexer for Capacitive Sensors page 1/7 Features Very well suited for multiple-capacitance measurement Low-cost CMOS Low output impedance Rail-to-rail digital

More information

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers Muhammad Nummer and Manoj Sachdev University of Waterloo, Ontario, Canada mnummer@vlsi.uwaterloo.ca, msachdev@ece.uwaterloo.ca

More information

XR FSK Modem Filter FUNCTIONAL BLOCK DIAGRAM GENERAL DESCRIPTION FEATURES ORDERING INFORMATION APPLICATIONS SYSTEM DESCRIPTION

XR FSK Modem Filter FUNCTIONAL BLOCK DIAGRAM GENERAL DESCRIPTION FEATURES ORDERING INFORMATION APPLICATIONS SYSTEM DESCRIPTION FSK Modem Filter GENERAL DESCRIPTION FUNCTIONAL BLOCK DIAGRAM The XR-2103 is a Monolithic Switched-Capacitor Filter designed to perform the complete filtering function necessary for a Bell 103 Compatible

More information

OBSOLETE FUNCTIONAL BLOCK DIAGRAM V DD 1 V DD 1 V P 2 V P 11-BIT IF B-COUNTER 6-BIT IF A-COUNTER 14-BIT IF R-COUNTER 14-BIT IF R-COUNTER

OBSOLETE FUNCTIONAL BLOCK DIAGRAM V DD 1 V DD 1 V P 2 V P 11-BIT IF B-COUNTER 6-BIT IF A-COUNTER 14-BIT IF R-COUNTER 14-BIT IF R-COUNTER a FEATURES ADF4216: 550 MHz/1.2 GHz ADF4217: 550 MHz/2.0 GHz ADF4218: 550 MHz/2.5 GHz 2.7 V to 5.5 V Power Supply Selectable Charge Pump Currents Selectable Dual Modulus Prescaler IF: 8/9 or 16/17 RF:

More information

Design of High Performance PLL using Process,Temperature Compensated VCO

Design of High Performance PLL using Process,Temperature Compensated VCO Design of High Performance PLL using Process,Temperature Compensated O K.A.Jyotsna Asst.professor CVR College of Engineering Hyderabad D.Anitha Asst.professor GITAM University Hyderabad ABSTRACT In this

More information

Phase Noise and Tuning Speed Optimization of a MHz Hybrid DDS-PLL Synthesizer with milli Hertz Resolution

Phase Noise and Tuning Speed Optimization of a MHz Hybrid DDS-PLL Synthesizer with milli Hertz Resolution Phase Noise and Tuning Speed Optimization of a 5-500 MHz Hybrid DDS-PLL Synthesizer with milli Hertz Resolution BRECHT CLAERHOUT, JAN VANDEWEGE Department of Information Technology (INTEC) University of

More information

1GHz low voltage LNA, mixer and VCO

1GHz low voltage LNA, mixer and VCO DESCRIPTION The is a combined RF amplifier, VCO with tracking bandpass filter and mixer designed for high-performance low-power communication systems from 800-1200MHz. The low-noise preamplifier has a

More information

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop Shaik. Yezazul Nishath School Of Electronics Engineering (SENSE) VIT University Chennai, India Abstract This paper outlines

More information

AN4: Application Note

AN4: Application Note : Introduction The PE3291 fractional-n PLL is a dual VHF/UHF integrated frequency synthesizer with fractional ratios of 2, 4, 8, 16 and 32. Its low power, low phase noise and low spur content make the

More information

Design and noise analysis of a fully-differential charge pump for phase-locked loops

Design and noise analysis of a fully-differential charge pump for phase-locked loops Vol. 30, No. 10 Journal of Semiconductors October 2009 Design and noise analysis of a fully-differential charge pump for phase-locked loops Gong Zhichao( 宫志超 ) 1, Lu Lei( 卢磊 ) 1, Liao Youchun( 廖友春 ) 2,

More information

12 Bit 1.2 GS/s 4:1 MUXDAC

12 Bit 1.2 GS/s 4:1 MUXDAC RDA012M4 12 Bit 1.2 GS/s 4:1 MUXDAC Features 12 Bit Resolution 1.2 GS/s Sampling Rate 4:1 or 2:1 Input Multiplexer Differential Analog Output Input code format: Offset Binary Output Swing: 600 mv with

More information

Short Course On Phase-Locked Loops and Their Applications Day 5, AM Lecture. Advanced PLL Examples (Part I)

Short Course On Phase-Locked Loops and Their Applications Day 5, AM Lecture. Advanced PLL Examples (Part I) Short Course On Phase-Locked Loops and Their Applications Day 5, AM Lecture Advanced PLL Examples (Part I) Michael Perrott August 15, 2008 Copyright 2008 by Michael H. Perrott All rights reserved. Outline

More information

on-chip Design for LAr Front-end Readout

on-chip Design for LAr Front-end Readout Silicon-on on-sapphire (SOS) Technology and the Link-on on-chip Design for LAr Front-end Readout Ping Gui, Jingbo Ye, Ryszard Stroynowski Department of Electrical Engineering Physics Department Southern

More information

DLL Based Frequency Multiplier

DLL Based Frequency Multiplier DLL Based Frequency Multiplier Final Project Report VLSI Chip Design Project Project Group 4 Version 1.0 Status Reviewed Approved Ameya Bhide Ameya Bhide TSEK06 VLSI Design Project 1 of 29 Group 4 PROJECT

More information

A CMOS UWB Transmitter for Intra/Inter-chip Wireless Communication

A CMOS UWB Transmitter for Intra/Inter-chip Wireless Communication A CMOS UWB Transmitter for Intra/Inter-chip Wireless Communication Pran Kanai Saha, Nobuo Sasaki and Takamaro Kikkawa Research Center For Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama,

More information

E4332: VLSI Design Laboratory. Columbia University Spring 2005: Lectures

E4332: VLSI Design Laboratory. Columbia University Spring 2005: Lectures E4332: VLSI Design Laboratory Nagendra Krishnapura Columbia University Spring 2005: Lectures nkrishna@vitesse.com 1 AM radio receiver AM radio signals: Audio signals on a carrier Intercept the signal Amplify

More information

A GHz Wideband Sub-harmonically Injection- Locked PLL with Adaptive Injection Timing Alignment Technique

A GHz Wideband Sub-harmonically Injection- Locked PLL with Adaptive Injection Timing Alignment Technique A 2.4 3.6-GHz Wideband Sub-harmonically Injection- Locked PLL with Adaptive Injection Timing Alignment Technique Abstract: This paper proposes a wideband sub harmonically injection-locked PLL (SILPLL)

More information

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California A 4 GSample/s 8-bit ADC in 0.35 µm CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California 1 Outline Background Chip Architecture

More information

MICROWAVE CRYSTEK. Features. Applications CPLL " 0.800" SMD CORPORATION GHz. Standard 3 Wire Interface

MICROWAVE CRYSTEK. Features. Applications CPLL  0.800 SMD CORPORATION GHz. Standard 3 Wire Interface Features 4.240 GHz Standard 3 Wire Interface Small layout 0.582" 0.8" Applications Digital Radio Equipment Fixed Wireless Access Satellite Communications Systems Base Stations Personal Communications Systems

More information

TL494 Pulse - Width- Modulation Control Circuits

TL494 Pulse - Width- Modulation Control Circuits FEATURES Complete PWM Power Control Circuitry Uncommitted Outputs for 200 ma Sink or Source Current Output Control Selects Single-Ended or Push-Pull Operation Internal Circuitry Prohibits Double Pulse

More information

The Use and Design of Synchronous Mirror Delays. Vince DiPuccio ECG 721 Spring 2017

The Use and Design of Synchronous Mirror Delays. Vince DiPuccio ECG 721 Spring 2017 The Use and Design of Synchronous Mirror Delays Vince DiPuccio ECG 721 Spring 2017 Presentation Overview Synchronization circuit Topologies covered in class PLL and DLL pros and cons Synchronous mirror

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

Challenges in Designing CMOS Wireless System-on-a-chip

Challenges in Designing CMOS Wireless System-on-a-chip Challenges in Designing CMOS Wireless System-on-a-chip David Su Atheros Communications Santa Clara, California IEEE Fort Collins, March 2008 Introduction Outline Analog/RF: CMOS Transceiver Building Blocks

More information

12-Bit 1-channel 4 MSPS ADC

12-Bit 1-channel 4 MSPS ADC SPECIFICATION 1 FEATURES 12-Bit 1-channel 4 MSPS ADC TSMC CMOS 65 nm Resolution 12 bit Single power supplies for digital and analog parts (2.5 V) Sampling rate up to 4 MSPS Standby mode (current consumption

More information

Wideband Synthesizer with Integrated VCO ADF4351

Wideband Synthesizer with Integrated VCO ADF4351 Data Sheet Wideband Synthesizer with Integrated VCO FEATURES Output frequency range: 35 MHz to 4400 MHz Fractional-N synthesizer and integer-n synthesizer Low phase noise VCO Programmable divide-by-/-2/-4/-8/-6/-32/-64

More information

Dual RF/IF PLL Frequency Synthesizers ADF4210/ADF4211/ADF4212/ADF4213

Dual RF/IF PLL Frequency Synthesizers ADF4210/ADF4211/ADF4212/ADF4213 a FEATURES ADF4210: 550 MHz/1.2 GHz ADF4211: 550 MHz/2.0 GHz ADF4212: 1.0 GHz/2.7 GHz ADF4213: 1.0 GHz/3 GHz 2.7 V to 5.5 V Power Supply Separate Charge Pump Supply (V P ) Allows Extended Tuning Voltage

More information

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector Group Members Uttam Kumar Boda Rajesh Tenukuntla Mohammad M Iftakhar Srikanth Yanamanagandla 1 Table

More information

Other Effects in PLLs. Behzad Razavi Electrical Engineering Department University of California, Los Angeles

Other Effects in PLLs. Behzad Razavi Electrical Engineering Department University of California, Los Angeles Other Effects in PLLs Behzad Razavi Electrical Engineering Department University of California, Los Angeles Example of Up and Down Skew and Width Mismatch Approximating the pulses on the control line by

More information

Dedication. To Mum and Dad

Dedication. To Mum and Dad Dedication To Mum and Dad Acknowledgment Table of Contents List of Tables List of Figures A B A B 0 1 B A List of Abbreviations Abstract Chapter1 1 Introduction 1.1. Motivation Figure 1. 1 The relative

More information

Digital PWM IC Control Technology and Issues

Digital PWM IC Control Technology and Issues Digital PWM IC Control Technology and Issues Prof. Seth R. Sanders (sanders@eecs.berkeley.edu) Angel V. Peterchev Jinwen Xiao Jianhui Zhang EECS Department University of California, Berkeley Digital Control

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

Design high performance Latch for high speed mixed circuit

Design high performance Latch for high speed mixed circuit 1 Design high performance Latch for high speed mixed circuit Photograph of Presenter Ardalan kalali (1) and Ardeshir Kalali (2) (1) The professor in KHAVARAN University (2) Civil And Environmental Engineering

More information

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

More information

DESIGN OF HIGH FREQUENCY CMOS FRACTIONAL-N FREQUENCY DIVIDER

DESIGN OF HIGH FREQUENCY CMOS FRACTIONAL-N FREQUENCY DIVIDER 12 JAVA Journal of Electrical and Electronics Engineering, Vol. 1, No. 1, April 2003 DESIGN OF HIGH FREQUENCY CMOS FRACTIONAL-N FREQUENCY DIVIDER Totok Mujiono Dept. of Electrical Engineering, FTI ITS

More information

A 5Gbit/s CMOS Clock and Data Recovery Circuit

A 5Gbit/s CMOS Clock and Data Recovery Circuit A 5Gbit/s CMOS Clock and Data Recovery Circuit Author Kok-Siang, Tan, Sulainian, Mohd Shahian, Soon-Hwei, Tan, I Reaz, Mamun, Mohd-Yasin, F. Published 2005 Conference Title 2005 IEEE Conference on Electron

More information