Phil Lehwalder ECE526 Summer 2011 Dr. Chiang

Size: px
Start display at page:

Download "Phil Lehwalder ECE526 Summer 2011 Dr. Chiang"

Transcription

1 Phil Lehwalder ECE526 Summer 2011 Dr. Chiang

2 PLL (Phase Lock Loop) Dynamic system that produces a clock in response to the frequency and phase of an input clock by varying frequency of an internal oscillator. DLL (Delay Lock Loop) - Dynamic system that produces a clock in response to the frequency and phase of an input clock by varying frequency the delay of an internal delay line. Can be modeled as linearized system for simulation if: Near locked condition minimizes non-linear behavior Loop BW << input f in sampled system therefore Nyquist applies

3 V0ltage Controlled Oscillator (VCO) f out V control When in or near lock: f out changes quickly with VCTRL, but f error takes time to correct Gain of this block is Hz/V f V out CTRL K VCO Ring oscillator common: V CTRL ( t) V V ( t) fout( s) 2 K V ( s) s CTRL LOCK VCO CTRL f out = inverse of the 2x round trip delay f out controlled via inverter current, voltage, or capacitance Problem: Tuning and gain varies widely with PT

4 All methods vary R, C or I Used for PLL VCO control and for DLL delay line control

5 Divider lowers feedback frequency by factor of N VCO has to run N time higher than f in to lock This is one way to make a clock multiplier N=1 f out = f in & output tracks input in frequency & phase N=1 makes a zero delay buffer Divider must be able to function at VCO f max of PLL may fail to lock at startup

6 Compares phase of f in & feedback signal Generates PWM error signal to loop filter based on phase delta of f in & f out over 180 degree phase window Output %D min = 0% f in & f out in phase Output %D max = 100% f in & f out 180 out of phase Becomes VCO control signal post loop filter Sensitive to clock jitter and %D variation V f PD ERR ( s) K ( s) PD VDD

7 Sequential PD drives the loop filter based on phase delta of f in & f out Makes UP & DOWN signals to drive charge pump that drives loop filter Signals are only high until both are high, then asynchronously reset. Good over +/-360 phase window Less sensitive to jitter & duty cycle variation since flops are edge triggered Preferred phase detector for clock generation Faster to lock & more reliably than XOR PD

8 I PD ( s) I CP K PD f ( s) 2 ERR ( s ) ( s ) Phase Frequency Detector drives charge pump V I CTRL PD K s I K P 1 sc R R,C, & C2 form shunt filter converts charge pump I OUT to VCO V CTRL R&C set the bandwidth C2 smooth charge pump ripple avoids charge pump induced jitter C2 << C C2 can be ignored since RC set the loop BW roll off point Loop filter sets VCO adjustment per unit phase error Kp term is proportional instantaneous change Ki term is fine error correction over time Integral Filter forms a PI control system that sets BW & system stability

9 PLL system is a 2 nd order system Loop is biquadratic low pass BW selected depending on dominant jitter/noise source On chip noise set BW high to compensate Off chip clock noise lower BW to average out or reject noise BW should be f in /10 so continuous time rules apply Avoids alias induced jitter since this is really a sampled system? Damping factor < to avoid ringing on input step change

10 Similar overall structure to PLL, but VCO replaced with adjustable delay and no FB divider Cannot perform frequency multiplication, but allows for multiple skewed or phase clock outputs Delay gain = seconds/v Can be build using the same elements used to make the VCO adjustable DLL system is a 1 st order system Filter is single pole (integrator) not prone to instability Output = delay version of input prone to input noise issues

11 Communication BW increasing over time Market driving smaller devices Cannot just add pins Speeds > 1GHz becoming common place Significant challenges of high speed I/O Generate fast pulses & detect logic state reliably No ideal transmission line effect in play (lossy) Distinguishing one bit from next when transmitted in succession

12 Point to point becoming more common vs. multi-drop configurations Easier to achieve good signal integrity (SIE) PCIe, SATA, USB, Fire Wire, GB LAN Paralleled point to point lanes increase BW Low Frequency (channel length << l) system = lumped Channel ~ideal t-line effects die out in small time relative to signal period Conductor act like equipotential net signal same at both ends of the channel Tx Driver see Rx load impedance High Frequency(channel length > l) system = distributed t-line effects in play tf & tf << signal propagation delay Signal propagate like a wave signal not same at both ends of the channel Tx driver see t-line Z 0 (characteristic t-line Impedance) as load Impedance matching critical to signal integrity (SIE)

13 Signal Propagation: n m e e e r relative permittivity known as dielectric constant of material (e.g.fr4) e 0 permittivity of free space m 0 relative permeability of free space Channel Characteristic Impedance (Z 0 ): Structure dependent Microstrip routed on outer layers of package or board 0.475k w t Stripline - routed on inner layers of package or board 1 o r 0 e r 1 m e Z 60 4h ln o 8 Z 60 4h ln o k w t o 0 c e r

14 Z TERMINATION Z O (Impedance mismatched case) Signal energy not completely absorbed at load Some energy reflected back towards the source Reflected signal set by reflection coefficient G Z L < Z O (-) reflection Ring back & non-monotonicity Z L > Z O (+) reflection Overshoot, undershot & non-monotonicity At high data rates (bit time << t PROPAGATION ) reflection from one bit can interfere other bits Inter-symbol Interference (ISI) Z TERMINATION = Z O (Impedance matched Case) No reflections Tx can send bit n+1 before bit has reached the Rx no ISI V G V REFLECTED INCIDENT Z Z L L Z Z O O

15 Dispersion: Frequency dependent attenuation of signal Results in distorted signal at Rx due to attenuation of high frequency content Source of issue is dielectric loss & skin effect Depending on signal pattern signal distortion can result in ISI Can be corrected with de-emphasis (equalization)

16 Crosstalk: Capacitive or inductive coupling between near by signals where energy from an aggressor net is coupled into a victim net. Single ended systems: Coupling must be removed to fix routing change. Can reduce with guard ground traces to shield signals of aggressors Differential signaling crosstalk is common mode so cancels out at Rx Error correction coding, error detecting codes, and sequencing detection can be used to detect data in the presence of crosstalk Less common in HS I/O used in digital communications systems.

17 Return Path Noise: Power path that results in difference between the Tx & Rx sides due to non-ideal VCC and GND same effect as noise at the Rx input Ground Bounce: Ground noise induced by driver conduction that has the same effect as noise at the Rx input. VCC Droop: VCC noise induced by driver conduction that has the same effect as noise at the Rx input. Return path noise manifest itself in many ways Signal jitter, V OH transient droop, VOL transient ring back Multi-signal interfaces can suffer from simultaneous switching noise (SSN) Return path noise from signal(s) interferes with other signals

18 Driver Z OUT varies widely over the transfer characteristic Need for external termination to minimize reflections and ISI a). Pull only driver - current mode signal converted to voltage by R=Z O (ex. GTL). b). Push-Pull CMOS - Standard series termination where Rout << Z O R=Z O c). Pull Only Terminated at both ends, but swing is cut in half for a given current mode signal Buffers can be RCOMP trimmed to make buffer Rout = Z O

19 Differential output signals 180 out of phase Increases noise immunity Common mode noise canceled out at Rx Need for external termination to minimize reflections and ISI Typical swing < 1V: USB2.0 = SATA (Gen2) = 3Gbpd PCIe = 1.2Gbps LVDS = up to 3.125Gbps a). Pull only differential current mode driver Steers Isw between D+ and D- outputs Signal converted to voltage by R=Z O at Rx end b). Push-Pull CMOS driver Steers Isw between D+ and D- outputs Signal converted to voltage by R=Z O at Rx across D+ and D- lines\ D+ transistors on for output =1 D- transistors on for output =0

20 AC Coupling due to unmatched DC operating point between Tx & Rx May require 8b/10b encoding to minimize LF information & signal loss across the caps Programmable Drive strength Switch in or out parallel legs to change drive strength of buffer Allows for output swing adjustment Can aid in compensating for signal loss Can help with signal integrity issues Programmable Slew Rate (edge control): Parallel output legs switched in over time Reduced edge rates lower EMC emissions Transmitter De-emphasis (Equalization): Attenuates LF information to make frequency response in loss t-line flat Corrects distortion due to dispersion Secondary buffer not active for consecutive data (attenuates low frequency information)

21 Multi-transmitter time-interleaving Multiple transmitters in parallel driven by shifted clocks Example: 4 x 4Gbps transmitter in parallel with clock driven in quadrature Each runs at 4Gbps combined Tx rate is 16Gbps

22 Multi-level Transmitter Single transmitter encodes multiple bits Uses paralleled buffers with weighted buffer strengths Example: 4 level transmitter encodes 2 bits on a single wire

23 Receivers: Core of receiver is a flip-flop that samples the data at the appropriate time Sub-1v HS differential interfaces may use an SA-FF low signal swing FF Time interleaving I/O uses staggered receivers based on tapped DLL clocks Multi-level signaling interfaces use ADC as Rx Bit Error Rate (BER): Main measure of performance for I/O Statistical measure of the probability of a transferring an erroneous bit Typical rates for HS I/O are in the to Number is very low because most logic has little redundancy

24 Low frequency interfaces typically use handshaking for bit transmission Tx sends bit and Rx notifies or ACKs that bit is received Only one on transmission path at any point in time HS I/O use time a marker for bits Tx clock source is a PLL or DLL minimize clock edge variation (absolute jitter) Bit transmitted at a constant time intervals fixed bit time No handshaking signals between the Tx and Rx Tx and Rx must agree on the timing of each bit bit time precisely controlled Rx must sync with the transmitter to sample data at middle of signal eye Example: NRZ encoded differential HS I/O Logical 1 = high (+ polarity) Logical 0 = low level (- polarity)

25 Clock transmitted with the data on separate on separate I/O channel Clock is typically common to several I/O channels Discrepancies in channel propagation delays lead to timing errors at Rx Forces tight layout matching common to DDR, SATA, PCIe, USB, Firewire etc Single Data Rate System (SDR) data samples on one edge of clock Double Data Rate System (DDR) data sampled on both clock edges Clock in quadrature (90 out of phase) with data for SDR & DDR

26 Clocks typically need to be buffered on Rx side Conventional buffers Generates internal skew shifting data sample point away from middle of eye Variation in delay across buffers results in substrate noise that can increase jitter Fix: Use a PLL /DLL to lock to source CLK acts like zero-delay buffer Interface clock may be transmitted in phase with data PLL with multi-tap VCO used to recover proper clock to data phase

27 At very high transfer rates keeping CLK & DATA aligned becomes difficult Bit time small minor clock to data skew is a significant portion bit time Skew due to systematic variations in tolerances in Z O, propagation delays etc Phase is not reliable, but frequency is reliable need Rx that can realign clock to data phase

28 In some cases it may be hard to accommodate the added clock channel Instead Tx & Rx agree on a bit transfer rate and Rx uses local clock to recover data Local Rx clock has tolerance that causes it to vary over time This system has uncertain phase and uncertain clock frequency at Rx side Common method is for Rx to use a PLL to generate a sampling clock Clock acts as oversampling clock that is centered on the data eye Example: Rs232 & RS422 serial interfaces (30+ years old)

29 True Random Number Generator uses thermal noise driving a VCO to generate random bits that are sampled via a shift register to form a random bit sequence Chip ID: Utilizes process variation as a chip finger print good for tracking illegal re-branding and counterfeiting Cross coupled inverters who's final state after reset de-assertion is set by device mistmatcing due to process variation, but is consistent for a specific part.

30 Phil Lehwalder ECE526 Summer 2011 Dr. Chiang

31 Can be modeled in terms of their phase: CLK = 1 for f(t)mod 2 < CLK = 0 for f(t)mod 2 Signal phase is linearly accumulated over time Neglects non-ideal effects (jitter, Power supply noise..etc)

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 16: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project descriptions are posted on the website Preliminary

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN620: Network Theory Broadband Circuit Design Fall 2012 Lecture 20: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 2 is on Friday Nov. 9 One double-sided 8.5x11

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 9: Noise Sources Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 5 Report and Prelab 6 due Apr. 3 Stateye

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 010 Lecture 7: PLL Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report

More information

Introduction to CMOS RF Integrated Circuits Design

Introduction to CMOS RF Integrated Circuits Design VI. Phase-Locked Loops VI-1 Outline Introduction Basic Feedback Loop Theory Circuit Implementation VI-2 What is a PLL? A PLL is a negative feedback system where an oscillatorgenerated signal is phase and

More information

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems A Design Methodology The Challenges of High Speed Digital Clock Design In high speed applications, the faster the signal moves through

More information

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray Agenda Problems of On-chip Global Signaling Channel Design Considerations

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

To learn fundamentals of high speed I/O link equalization techniques.

To learn fundamentals of high speed I/O link equalization techniques. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab5 Equalization Circuits Objective To learn fundamentals of high speed I/O link equalization techniques. Introduction An ideal cable could propagate

More information

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements EE290C - Spring 04 Advanced Topics in Circuit Design High-Speed Electrical Interfaces Lecture 11 Components Phase-Locked Loops Viterbi Decoder Borivoje Nikolic March 2, 04. Announcements Homework #2 due

More information

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Abstract: Double-edged pulse width modulation (DPWM) is less sensitive to frequency-dependent losses in electrical

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

This chapter discusses the design issues related to the CDR architectures. The

This chapter discusses the design issues related to the CDR architectures. The Chapter 2 Clock and Data Recovery Architectures 2.1 Principle of Operation This chapter discusses the design issues related to the CDR architectures. The bang-bang CDR architectures have recently found

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Digital Systems Power, Speed and Packages II CMPE 650

Digital Systems Power, Speed and Packages II CMPE 650 Speed VLSI focuses on propagation delay, in contrast to digital systems design which focuses on switching time: A B A B rise time propagation delay Faster switching times introduce problems independent

More information

Modeling System Signal Integrity Uncertainty Considerations

Modeling System Signal Integrity Uncertainty Considerations white paper Intel FPGA Modeling System Signal Integrity Uncertainty Considerations Authors Ravindra Gali High-Speed I/O Applications Engineering, Intel Corporation Zhi Wong High-Speed I/O Applications

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

06-011r0 Towards a SAS-2 Physical Layer Specification. Kevin Witt 11/30/2005

06-011r0 Towards a SAS-2 Physical Layer Specification. Kevin Witt 11/30/2005 06-011r0 Towards a SAS-2 Physical Layer Specification Kevin Witt 11/30/2005 Physical Layer Working Group Goal Draft a Specification which will: 1. Meet the System Designers application requirements, 2.

More information

5Gbps Serial Link Transmitter with Pre-emphasis

5Gbps Serial Link Transmitter with Pre-emphasis Gbps Serial Link Transmitter with Pre-emphasis Chih-Hsien Lin, Chung-Hong Wang and Shyh-Jye Jou Department of Electrical Engineering,National Central University,Chung-Li, Taiwan R.O.C. Abstract- High-speed

More information

ICS PLL BUILDING BLOCK

ICS PLL BUILDING BLOCK Description The ICS673-01 is a low cost, high performance Phase Locked Loop (PLL) designed for clock synthesis and synchronization. Included on the chip are the phase detector, charge pump, Voltage Controlled

More information

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2 13.2 An MLSE Receiver for Electronic-Dispersion Compensation of OC-192 Fiber Links Hyeon-min Bae 1, Jonathan Ashbrook 1, Jinki Park 1, Naresh Shanbhag 2, Andrew Singer 2, Sanjiv Chopra 1 1 Intersymbol

More information

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT PRADEEP G CHAGASHETTI Mr. H.V. RAVISH ARADHYA Department of E&C Department of E&C R.V.COLLEGE of ENGINEERING R.V.COLLEGE of ENGINEERING Bangalore

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 12: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report #2 due Apr. 20 Expand

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab.

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab. High-Speed Circuits and Systems Laboratory B.M.Yu 1 Content 1. Introduction 2. Pre-emphasis 1. Amplitude pre-emphasis 2. Phase pre-emphasis 3. Circuit implantation 4. Result 5. Conclusion 2 Introduction

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

Dual-Rate Fibre Channel Repeaters

Dual-Rate Fibre Channel Repeaters 9-292; Rev ; 7/04 Dual-Rate Fibre Channel Repeaters General Description The are dual-rate (.0625Gbps and 2.25Gbps) fibre channel repeaters. They are optimized for use in fibre channel arbitrated loop applications

More information

Integrated Circuit Design for High-Speed Frequency Synthesis

Integrated Circuit Design for High-Speed Frequency Synthesis Integrated Circuit Design for High-Speed Frequency Synthesis John Rogers Calvin Plett Foster Dai ARTECH H O US E BOSTON LONDON artechhouse.com Preface XI CHAPTER 1 Introduction 1 1.1 Introduction to Frequency

More information

ECE 546 Introduction

ECE 546 Introduction ECE 546 Introduction Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu ECE 546 Jose Schutt Aine 1 Future System Needs and Functions Auto Digital

More information

ECE 497 JS Lecture - 22 Timing & Signaling

ECE 497 JS Lecture - 22 Timing & Signaling ECE 497 JS Lecture - 22 Timing & Signaling Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements - Signaling Techniques (4/27) - Signaling

More information

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard 0.13 µm CMOS SOI Technology School of Electrical and Electronic Engineering Yonsei University 이슬아 1. Introduction 2. Architecture

More information

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas Self-Biased PLL/DLL ECG721 60-minute Final Project Presentation Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas Outline Motivation Self-Biasing Technique Differential Buffer

More information

UTILIZATION OF DIFFERENTIAL PULSE POSITION MODULATION IN DESIGNING TIME-MODE SERIAL DATA LINKS

UTILIZATION OF DIFFERENTIAL PULSE POSITION MODULATION IN DESIGNING TIME-MODE SERIAL DATA LINKS UTILIZATION OF DIFFERENTIAL PULSE POSITION MODULATION IN DESIGNING TIME-MODE SERIAL DATA LINKS by Taha Mehrabi Shahsavari, Bachelor of Engineering, Ryerson, 2012 A thesis presented to Ryerson University

More information

INF4420 Phase locked loops

INF4420 Phase locked loops INF4420 Phase locked loops Spring 2012 Jørgen Andreas Michaelsen (jorgenam@ifi.uio.no) Outline "Linear" PLLs Linear analysis (phase domain) Charge pump PLLs Delay locked loops (DLLs) Applications Introduction

More information

High Speed Digital Design & Verification Seminar. Measurement fundamentals

High Speed Digital Design & Verification Seminar. Measurement fundamentals High Speed Digital Design & Verification Seminar Measurement fundamentals Agenda Sources of Jitter, how to measure and why Importance of Noise Select the right probes! Capture the eye diagram Why measure

More information

ECEN 620: Network Theory Broadband Circuit Design Fall 2012

ECEN 620: Network Theory Broadband Circuit Design Fall 2012 ECEN 620: Network Theory Broadband Circuit Design Fall 2012 Lecture 23: High-Speed I/O Overview Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 3 is postponed to Dec. 11

More information

High Speed Clock Distribution Design Techniques for CDC 509/516/2509/2510/2516

High Speed Clock Distribution Design Techniques for CDC 509/516/2509/2510/2516 High Speed Clock Distribution Design Techniques for CDC 509/516/2509/2510/2516 APPLICATION REPORT: SLMA003A Boyd Barrie Bus Solutions Mixed Signals DSP Solutions September 1998 IMPORTANT NOTICE Texas Instruments

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

Lecture 23: PLLs. Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class

Lecture 23: PLLs. Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 23: PLLs Announcements Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class Open book open notes Project

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

LVDS Flow Through Evaluation Boards. LVDS47/48EVK Revision 1.0

LVDS Flow Through Evaluation Boards. LVDS47/48EVK Revision 1.0 LVDS Flow Through Evaluation Boards LVDS47/48EVK Revision 1.0 January 2000 6.0.0 LVDS Flow Through Evaluation Boards 6.1.0 The Flow Through LVDS Evaluation Board The Flow Through LVDS Evaluation Board

More information

Research on Self-biased PLL Technique for High Speed SERDES Chips

Research on Self-biased PLL Technique for High Speed SERDES Chips 3rd International Conference on Machinery, Materials and Information Technology Applications (ICMMITA 2015) Research on Self-biased PLL Technique for High Speed SERDES Chips Meidong Lin a, Zhiping Wen

More information

A CMOS Multi-Gb/s 4-PAM Serial Link Transceiver*

A CMOS Multi-Gb/s 4-PAM Serial Link Transceiver* A CMOS Multi-Gb/s 4-PAM Serial Link Transceiver* March 11, 1999 Ramin Farjad-Rad Center for Integrated Systems Stanford University Stanford, CA 94305 *Funding from LSI Logic, SUN Microsystems, and Powell

More information

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

More information

Enhancing FPGA-based Systems with Programmable Oscillators

Enhancing FPGA-based Systems with Programmable Oscillators Enhancing FPGA-based Systems with Programmable Oscillators Jehangir Parvereshi, jparvereshi@sitime.com Sassan Tabatabaei, stabatabaei@sitime.com SiTime Corporation www.sitime.com 990 Almanor Ave., Sunnyvale,

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information

ISSN:

ISSN: 507 CMOS Digital-Phase-Locked-Loop for 1 Gbit/s Clock Recovery Circuit KULDEEP THINGBAIJAM 1, CHIRAG SHARMA 2 1 Department of E&CE, Nitte Meenaskhi Institute of Technology, Yelahanka, Bangalore-560064,

More information

LVDS Owner s Manual. A General Design Guide for National s Low Voltage Differential Signaling (LVDS) Products. Moving Info with LVDS

LVDS Owner s Manual. A General Design Guide for National s Low Voltage Differential Signaling (LVDS) Products. Moving Info with LVDS LVDS Owner s Manual A General Design Guide for National s Low Voltage Differential Signaling (LVDS) Products Moving Info with LVDS Revision 2.0 January 2000 LVDS Evaluation Boards Chapter 6 6.0.0 LVDS

More information

Maximum data rate: 50 MBaud Data rate range: ±15% Lock-in time: 1 bit

Maximum data rate: 50 MBaud Data rate range: ±15% Lock-in time: 1 bit MONOLITHIC MANCHESTER ENCODER/DECODER (SERIES 3D7503) FEATURES 3D7503 data 3 delay devices, inc. PACKAGES All-silicon, low-power CMOS technology CIN 1 14 Encoder and decoder function independently Encoder

More information

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Outline

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Outline EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces Lecture #7 Components Termination, Transmitters & Receivers Jared Zerbe 2/10/04 Outline General issues Termination

More information

MK LOW PHASE NOISE T1/E1 CLOCK GENERATOR. Features. Description. Block Diagram DATASHEET. Pullable Crystal

MK LOW PHASE NOISE T1/E1 CLOCK GENERATOR. Features. Description. Block Diagram DATASHEET. Pullable Crystal DATASHEET LOW PHASE NOISE T1/E1 CLOCK ENERATOR MK1581-01 Description The MK1581-01 provides synchronization and timing control for T1 and E1 based network access or multitrunk telecommunication systems.

More information

CDR in Mercury Devices

CDR in Mercury Devices CDR in Mercury Devices February 2001, ver. 1.0 Application Note 130 Introduction Preliminary Information High-speed serial data transmission allows designers to transmit highbandwidth data using differential,

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 PLL (Introduction) 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Clock Clock: Timing

More information

ICS663 PLL BUILDING BLOCK

ICS663 PLL BUILDING BLOCK Description The ICS663 is a low cost Phase-Locked Loop (PLL) designed for clock synthesis and synchronization. Included on the chip are the phase detector, charge pump, Voltage Controlled Oscillator (VCO)

More information

TITLE. Image. Topic: Topic: Hee-Soo o LEE, Keysight Technologies Cindy Cui, Keysight Technologies

TITLE. Image. Topic: Topic: Hee-Soo o LEE, Keysight Technologies Cindy Cui, Keysight Technologies TITLE Topic: Accurate o Nam elementum Statistical-Based commodo mattis. Pellentesque DDR4 Margin Estimation using malesuada SSN blandit Induced euismod. Jitter Model Topic: Hee-Soo o LEE, Keysight Technologies

More information

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 138 CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 6.1 INTRODUCTION The Clock generator is a circuit that produces the timing or the clock signal for the operation in sequential circuits. The circuit

More information

Low Skew CMOS PLL Clock Drivers

Low Skew CMOS PLL Clock Drivers Low Skew CMOS PLL Clock Drivers The MC88915 Clock Driver utilizes phase-locked loop technology to lock its low skew outputs' frequency and phase onto an input reference clock. It is designed to provide

More information

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3 ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3 4.3 A Second-Order Semi-Digital Clock Recovery Circuit Based on Injection Locking M.-J. Edward Lee 1, William J. Dally 1,2,

More information

on-chip Design for LAr Front-end Readout

on-chip Design for LAr Front-end Readout Silicon-on on-sapphire (SOS) Technology and the Link-on on-chip Design for LAr Front-end Readout Ping Gui, Jingbo Ye, Ryszard Stroynowski Department of Electrical Engineering Physics Department Southern

More information

Decoupling Technique for Reducing Sensitivity of Differential Pairs to Power-Supply-Induced Jitter

Decoupling Technique for Reducing Sensitivity of Differential Pairs to Power-Supply-Induced Jitter Decoupling Technique for Reducing Sensitivity of Differential Pairs to Power-Supply-Induced Jitter John McNeill Vladimir Zlatkovic David Bowler Lawrence M. DeVito ANALOG DEVICES Application Presentation

More information

High-speed Integrated Circuits for Silicon Photonics

High-speed Integrated Circuits for Silicon Photonics High-speed Integrated Circuits for Silicon Photonics Institute of Semiconductor, CAS 2017.7 Outline Introduction High-Speed Signaling Fundamentals TX Design Techniques RX Design Techniques Design Examples

More information

Choosing Loop Bandwidth for PLLs

Choosing Loop Bandwidth for PLLs Choosing Loop Bandwidth for PLLs Timothy Toroni SVA Signal Path Solutions April 2012 1 Phase Noise (dbc/hz) Choosing a PLL/VCO Optimized Loop Bandwidth Starting point for setting the loop bandwidth is

More information

Low-overhead solutions for clock generation and synchronization.

Low-overhead solutions for clock generation and synchronization. Low-overhead solutions for clock generation and synchronization. Monday, March 10/ 2003 A presentation in the series on ULSI Configurable Systems. Gord Allan PhD Candidate Carleton University Outline Presentation

More information

DDR4 memory interface: Solving PCB design challenges

DDR4 memory interface: Solving PCB design challenges DDR4 memory interface: Solving PCB design challenges Chang Fei Yee - July 23, 2014 Introduction DDR SDRAM technology has reached its 4th generation. The DDR4 SDRAM interface achieves a maximum data rate

More information

Tuesday, March 29th, 9:15 11:30

Tuesday, March 29th, 9:15 11:30 Oscillators, Phase Locked Loops Tuesday, March 29th, 9:15 11:30 Snorre Aunet (sa@ifi.uio.no) Nanoelectronics group Department of Informatics University of Oslo Last time and today, Tuesday 29th of March:

More information

XR FSK Modem Filter FUNCTIONAL BLOCK DIAGRAM GENERAL DESCRIPTION FEATURES ORDERING INFORMATION APPLICATIONS SYSTEM DESCRIPTION

XR FSK Modem Filter FUNCTIONAL BLOCK DIAGRAM GENERAL DESCRIPTION FEATURES ORDERING INFORMATION APPLICATIONS SYSTEM DESCRIPTION FSK Modem Filter GENERAL DESCRIPTION FUNCTIONAL BLOCK DIAGRAM The XR-2103 is a Monolithic Switched-Capacitor Filter designed to perform the complete filtering function necessary for a Bell 103 Compatible

More information

Switching (AC) Characteristics of MOS Inverters. Prof. MacDonald

Switching (AC) Characteristics of MOS Inverters. Prof. MacDonald Switching (AC) Characteristics of MOS Inverters Prof. MacDonald 1 MOS Inverters l Performance is inversely proportional to delay l Delay is time to raise (lower) voltage at nodes node voltage is changed

More information

PCI-EXPRESS CLOCK SOURCE. Features

PCI-EXPRESS CLOCK SOURCE. Features DATASHEET ICS557-01 Description The ICS557-01 is a clock chip designed for use in PCI-Express Cards as a clock source. It provides a pair of differential outputs at 100 MHz in a small 8-pin SOIC package.

More information

Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines

Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines December 2007, ver. 1.0 Introduction Application Note 508 Low-cost FPGAs designed on 90-nm and 65-nm process technologies are made to support

More information

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems M. Meghelli 1, A. Rylyakov 1, S. J. Zier 2, M. Sorna 2, D. Friedman 1 1 IBM T. J. Watson Research Center 2 IBM

More information

ICS663 PLL BUILDING BLOCK. Description. Features. Block Diagram DATASHEET

ICS663 PLL BUILDING BLOCK. Description. Features. Block Diagram DATASHEET DATASHEET ICS663 Description The ICS663 is a low cost Phase-Locked Loop (PLL) designed for clock synthesis and synchronization. Included on the chip are the phase detector, charge pump, Voltage Controlled

More information

Advanced Regulating Pulse Width Modulators

Advanced Regulating Pulse Width Modulators Advanced Regulating Pulse Width Modulators FEATURES Complete PWM Power Control Circuitry Uncommitted Outputs for Single-ended or Push-pull Applications Low Standby Current 8mA Typical Interchangeable with

More information

2.5Gbps, +3.3V Clock and Data Retiming ICs with Vertical Threshold Adjust

2.5Gbps, +3.3V Clock and Data Retiming ICs with Vertical Threshold Adjust 19-262; Rev ; 5/1 2.5Gbps, +3.3V Clock and Data Retiming ICs General Description The are compact, low-power clock recovery and data retiming ICs for 2.488Gbps SONET/ SDH applications. The fully integrated

More information

Summer 2015 Examination

Summer 2015 Examination Summer 2015 Examination Subject Code: 17445 Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme.

More information

Two Selectable Inputs, 8 LVPECL Outputs, SiGe Clock Fanout Buffer ADCLK948

Two Selectable Inputs, 8 LVPECL Outputs, SiGe Clock Fanout Buffer ADCLK948 Data Sheet Two Selectable Inputs, 8 LVPECL Outputs, SiGe Clock Fanout Buffer FEATURES 2 selectable differential inputs 4.8 GHz operating frequency 75 fs rms broadband random jitter On-chip input terminations

More information

Self Biased PLL/DLL. ECG 721 Memory Circuit Design (Spring 2017) Dane Gentry 4/17/17

Self Biased PLL/DLL. ECG 721 Memory Circuit Design (Spring 2017) Dane Gentry 4/17/17 Self Biased PLL/DLL ECG 721 Memory Circuit Design (Spring 2017) Dane Gentry 4/17/17 1 Jitter Self Biased PLL/DLL Differential Buffer Delay Fig. 19.57 Bias Generator Self Biased DLL Input/Output p Delay

More information

3.3 VOLT COMMUNICATIONS CLOCK PLL MK Description. Features. Block Diagram DATASHEET

3.3 VOLT COMMUNICATIONS CLOCK PLL MK Description. Features. Block Diagram DATASHEET DATASHEET 3.3 VOLT COMMUNICATIONS CLOCK PLL MK2049-45 Description The MK2049-45 is a dual Phase-Locked Loop (PLL) device which can provide frequency synthesis and jitter attenuation. The first PLL is VCXO

More information

+3.3V. C FIL 0.82μF SDI+ SDI- SLBI+ SLBI- +3.3V V CTRL V REF SIS LREF LOL RS1 SYSTEM LOOPBACK DATA +3.3V

+3.3V. C FIL 0.82μF SDI+ SDI- SLBI+ SLBI- +3.3V V CTRL V REF SIS LREF LOL RS1 SYSTEM LOOPBACK DATA +3.3V 19-2709; Rev 3; 2/07 EVALUATION KIT AVAILABLE Multirate Clock and Data Recovery General Description The is a compact, multirate clock and data recovery with limiting amplifier for OC-3, OC-12, OC-24, OC-48,

More information

MK VCXO-BASED FRAME CLOCK FREQUENCY TRANSLATOR. Features. Description. Block Diagram DATASHEET. Pullable Crystal

MK VCXO-BASED FRAME CLOCK FREQUENCY TRANSLATOR. Features. Description. Block Diagram DATASHEET. Pullable Crystal DATASHEET MK2059-01 Description The MK2059-01 is a VCXO (Voltage Controlled Crystal Oscillator) based clock generator that produces common telecommunications reference frequencies. The output clock is

More information

Features. EXTERNAL PULLABLE CRYSTAL (external loop filter) FREQUENCY MULTIPLYING PLL 2

Features. EXTERNAL PULLABLE CRYSTAL (external loop filter) FREQUENCY MULTIPLYING PLL 2 DATASHEET 3.3 VOLT COMMUNICATIONS CLOCK VCXO PLL MK2049-34A Description The MK2049-34A is a VCXO Phased Locked Loop (PLL) based clock synthesizer that accepts multiple input frequencies. With an 8 khz

More information

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California A 4 GSample/s 8-bit ADC in 0.35 µm CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California 1 Outline Background Chip Architecture

More information

Increasing Performance Requirements and Tightening Cost Constraints

Increasing Performance Requirements and Tightening Cost Constraints Maxim > Design Support > Technical Documents > Application Notes > Power-Supply Circuits > APP 3767 Keywords: Intel, AMD, CPU, current balancing, voltage positioning APPLICATION NOTE 3767 Meeting the Challenges

More information

Department of Electronics & Telecommunication Engg. LAB MANUAL. B.Tech V Semester [ ] (Branch: ETE)

Department of Electronics & Telecommunication Engg. LAB MANUAL. B.Tech V Semester [ ] (Branch: ETE) Department of Electronics & Telecommunication Engg. LAB MANUAL SUBJECT:-DIGITAL COMMUNICATION SYSTEM [BTEC-501] B.Tech V Semester [2013-14] (Branch: ETE) KCT COLLEGE OF ENGG & TECH., FATEHGARH PUNJAB TECHNICAL

More information

Phase Locked Loops, Report Writing, Layout Tuesday, April 5th, 9:15 11:00

Phase Locked Loops, Report Writing, Layout Tuesday, April 5th, 9:15 11:00 Phase Locked Loops, Report Writing, Layout Tuesday, April 5th, 9:15 11:00 Snorre Aunet (sa@ifi.uio.no) Nanoelectronics group Department of Informatics University of Oslo Last time and today, Tuesday 5th

More information

Signal Integrity and Clock System Design

Signal Integrity and Clock System Design Signal Integrity and Clock System Design Allan Liu, Applications Engineer, IDT Introduction Signal integrity is the art of getting a signal from point A to point B with minimum distortion to that signal.

More information

ICS CLOCK MULTIPLIER AND JITTER ATTENUATOR. Description. Features. Block Diagram DATASHEET

ICS CLOCK MULTIPLIER AND JITTER ATTENUATOR. Description. Features. Block Diagram DATASHEET DATASHEET ICS2059-02 Description The ICS2059-02 is a VCXO (Voltage Controlled Crystal Oscillator) based clock multiplier and jitter attenuator designed for system clock distribution applications. This

More information

SY89838U. General Description. Features. Applications. Markets. Precision 1:8 LVDS Clock Fanout Buffer with 2:1 Runt Pulse Eliminator Input MUX

SY89838U. General Description. Features. Applications. Markets. Precision 1:8 LVDS Clock Fanout Buffer with 2:1 Runt Pulse Eliminator Input MUX Precision 1:8 LVDS Clock Fanout Buffer with 2:1 Runt Pulse Eliminator Input MUX General Description The is a low jitter, low skew, high-speed 1:8 fanout buffer with a unique, 2:1 differential input multiplexer

More information

On Chip High Speed Interconnects: Trade offs in Passive Compensation

On Chip High Speed Interconnects: Trade offs in Passive Compensation On Chip High Speed Interconnects: Trade offs in Passive Compensation Term Project: ECE469 High Speed Integrated Electronics Raj Parihar Problem Statement Scaling and Current Scenario Increasing Chip Complexity

More information

60 GHz RX. Waveguide Receiver Module. Features. Applications. Data Sheet V60RXWG3. VubIQ, Inc

60 GHz RX. Waveguide Receiver Module. Features. Applications. Data Sheet V60RXWG3. VubIQ, Inc GHz RX VRXWG Features Complete millimeter wave receiver WR-, UG-8/U flange Operates in the to GHz unlicensed band db noise figure Up to.8 GHz modulation bandwidth I/Q analog baseband interface Integrated

More information

PI6C557-03AQ. PCIe 2.0 Clock Generator with 2 HCSL Outputs for Automotive Applications. Description. Features. Pin Configuration (16-Pin TSSOP)

PI6C557-03AQ. PCIe 2.0 Clock Generator with 2 HCSL Outputs for Automotive Applications. Description. Features. Pin Configuration (16-Pin TSSOP) PCIe.0 Clock Generator with HCSL Outputs for Automotive Applications Features ÎÎPCIe.0 compliant à à Phase jitter -.1ps RMS (typ) ÎÎLVDS compatible outputs ÎÎSupply voltage of 3.3V ±10% ÎÎ5MHz crystal

More information

Package and Pin Assignment SSOP-6 (0.64mm pitch) OSCIN OSCOUT TXEN 3 VSS 4 TXOUT 5 VSS 6 7 MODIN 8 HiMARK SW DO RES RESB VREFP VSS Symbol

Package and Pin Assignment SSOP-6 (0.64mm pitch) OSCIN OSCOUT TXEN 3 VSS 4 TXOUT 5 VSS 6 7 MODIN 8 HiMARK SW DO RES RESB VREFP VSS Symbol Low Power ASK Transmitter IC HiMARK Technology, Inc. reserves the right to change the product described in this datasheet. All information contained in this datasheet is subject to change without prior

More information

6.976 High Speed Communication Circuits and Systems Lecture 21 MSK Modulation and Clock and Data Recovery Circuits

6.976 High Speed Communication Circuits and Systems Lecture 21 MSK Modulation and Clock and Data Recovery Circuits 6.976 High Speed Communication Circuits and Systems Lecture 21 MSK Modulation and Clock and Data Recovery Circuits Michael Perrott Massachusetts Institute of Technology Copyright 2003 by Michael H. Perrott

More information

Quad 12-Bit Digital-to-Analog Converter (Serial Interface)

Quad 12-Bit Digital-to-Analog Converter (Serial Interface) Quad 1-Bit Digital-to-Analog Converter (Serial Interface) FEATURES COMPLETE QUAD DAC INCLUDES INTERNAL REFERENCES AND OUTPUT AMPLIFIERS GUARANTEED SPECIFICATIONS OVER TEMPERATURE GUARANTEED MONOTONIC OVER

More information

Low-Jitter, 8kHz Reference Clock Synthesizer Outputs MHz

Low-Jitter, 8kHz Reference Clock Synthesizer Outputs MHz 19-3530; Rev 0; 1/05 Low-Jitter, 8kHz Reference General Description The low-cost, high-performance clock synthesizer with an 8kHz input reference clock provides six buffered LVTTL clock outputs at 35.328MHz.

More information

OBSOLETE. Digitally Programmable Delay Generator AD9501

OBSOLETE. Digitally Programmable Delay Generator AD9501 a FEATURES Single 5 V Supply TTL- and CMOS-Compatible 10 ps Delay Resolution 2.5 ns to 10 s Full-Scale Range Maximum Trigger Rate 50 MHz APPLICATIONS Disk Drive Deskewing Data Communications Test Equipment

More information

NRZ CHIP-CHIP. CDAUI-8 Chip-Chip. Tom Palkert. MoSys 12/16/2014

NRZ CHIP-CHIP. CDAUI-8 Chip-Chip. Tom Palkert. MoSys 12/16/2014 NRZ CHIP-CHIP CDAUI-8 Chip-Chip Tom Palkert MoSys 12/16/2014 Proposes baseline text for an 8 lane 400G Ethernet electrical chip to chip interface (CDAUI-8) using NRZ modulation. The specification leverages

More information