Low-overhead solutions for clock generation and synchronization.

Size: px
Start display at page:

Download "Low-overhead solutions for clock generation and synchronization."

Transcription

1 Low-overhead solutions for clock generation and synchronization. Monday, March 10/ 2003 A presentation in the series on ULSI Configurable Systems. Gord Allan PhD Candidate Carleton University

2 Outline Presentation Progress Ultra Large Scale (ULSI) Configurable Systems Adjustable Delay Elements A Pausable Numerically Controlled Oscillator (NCO) All digital Phase-Locked Loops and Frequency Synthesis Hybrid Analog and Digital Extreme Range PLLs Calibrated Delay Lines and DLLs Clock-Data Recovery (CDR) Single Cycle Acquisition PLLs Frequency Re-synthesis Phase Adjustment Skew Compensation High speed reconfigurable links

3 Ultra Large Scale Configurable Systems Architecture USB I/FFT ADC DAC Switch Cap Filters PLL DDFS FP FP Modulator FPGA Fabric Systolic Array FIRs FEC ARM ARM ARM DMA IIRs Cryto up Peripherals Interface ARM DMA Cache Cache Local Storage Cache... System Memory Our architecture: Many sub-systems on a chip/board. Subsystems are fully isolated from oneanother IO is configured via software. On demand system configuration and processing. Timing Issues FPGA Subsystems operate on independent clocks. -Low overhead clock generation. Communications reequire fault-tolerant, high-throughput re-synchronization.

4 System Timing: A Key Component Delays Adjustable Delay Element Low Power Ideally no static current. Low Area Wide-Range, Fine Resolution High operating frequency Mixed signal control Linear delay characteristic Composed of standard library elements Low Noise More on this later Digital IN Delay = 100pS to 1000ps, Increments of 10pS. Control Word Digital Out

5 Some Conventional Options Starved Invertor Switched Capacitance Delays RFP RFN Good Wide- Range Potentially Low Noise Standard Cell (AOI) Bad Power, Area Non-linear No dig. Control Touchy Analog References Typical delay 100pS inf Switched Paths Good Wide-Range Nearly Linear Potential Alg ctrl. Std Cells Low Noise Bad Power penalty. Typical delay 300pS Lower max. speed. Glitching 3000pS slow slow Good Area, Power High Speed Dig. Library Element Bad Low-Range Effects edges differently. Little alg. Control. Good Wide- Range Std Cells Low Noise -fast mode Bad Power Definite glitching. Poor resolution. No alg. ctrl. Typical delay 100pS 120pS Typical delay 200pS 600pS

6 More advanced Approaches Adjustable Drive Self-Starved Invertor Delays Good Fine Resolution Potentially Linear Bad Typical delay 200pS Low-Range. Custom tweaking reqd. 300pS slow Good Area, Power Wide Range Linearity Mixed Signal Ctrl Externally Tunable Typical delay 120pS Bad Low resolution. Low Max speed. Noise sensitive. 1000pS Glitchless Switched Capacitor Parallel Invertor Chain TX Gate Bleeder Good Wide-Range Nearly Linear Potential Alg ctrl. Std Cells Low Noise Bad Power penalty. Larger Area Lower max. speed. Typical delay 400pS 3000pS Good Med Resolution (~30 ps) Potentially Linear Std Cells Typical delay 200pS Bad ~Area ~Power Med- Range Poor alg control. 400pS

7 Delay Element Recap Delays slow slow Hybrids are not only possible, but are encouraged. The delay element to use depends largely on the application requirements. Is it passing High or Low frequency signals? What is the required delay range? Does glitching matter? Fine or coarse control resolution?

8 Numerically Controlled Oscillator NCOs RUN PASS CLK T = 2*(Tdelay + Tinv) Freq adj. NCO All digital, Numerically Controlled Oscillator. An example Delay Element: f = 2.3GHz ~ 150 MHz Intervals f = 1.1GHz Speed Setting AVG Variation Frequency Typical Case: 27C (GHz) Worst Case: 100C % Best Case: 0C % Typical Power: This is one of the more power hungry f = 1.25 GHz, 1.8V 720 uw 580 fw/hz ~ 6 flip-flops With custom sizing, power can be nearly halved.

9 An Aside MOS Noise Ring Oscillators are highly non-linear. Difficult to analyze. Noise causes the delay through each stage to vary randomly about the mean. Translates to jitter in the output frequency Single MOS: Thermal Noise + Flicker (1/f) Noise NCOs V 2 i ( f ) = 4kT g m + K WLCox f 2 V i Low Noise RC = 100 ps 1kΩ 100fF 10kΩ 10fF Low Power, Area RC = 100 ps Large Transistors (WL) High W/L ratios Fewer Stages Larger Cox Poorer Area, Power, Speed Poorer Area, Power, Range Poorer Range t ox is smaller as technology scales. Moral: For noise immunity, add capacitance instead of resistance. In this work me make the conscious choice to focus on power andarea, not noise. For circuits which interface to RF, noise must be a priority.

10 A Poor Man s PLL: Digitally Matching a Reference Digital PLLs FreqUP Ref Freq Detect FreqDN Freq adj. NCO Phase Adjust Phase Detect Advance Recede Start the ring oscillator running at full speed. The frequency detector will force the NCO delay to rise, thus lowering the frequency. Once the frequency falls below the reference, the NCO will be commanded to reverse course, and raise the frequency. Provided the delay through the feedback loop is controlled, we then know that the frequencies are roughly aligned, and we can use a similar approach to align the phase. Once locked, the oscillator will toggle between the two digital frequencies that surround the reference frequency this introduces a quantization based jitter

11 A Poor Man s PLL: Clock Multiplication Digital PLLs FreqUP Ref Freq Detect FreqDN Freq adj. NCO Phase Adjust Phase Detect Advance Recede Divider The same approach as for an analog PLL. Add a clock divider into the feedback path. The frequency and phase detectors will work to keep the two signals it sees matched. The frequency detector forces the NCO to put out a frequency faster than the reference. Thus, by inserting a simple counter in the feedback path, we can generate integer multiples of the reference frequency. N fdbk

12 A Poor Man s PLL: Digital Clock Synthesis Digital PLLs Ref Divider 1 1 R R R R FreqUP FreqDN Freq adj. NCO Phase Adjust Advance RST not shown. Recede Divider Including a divider on the reference, we can generate nearly arbitrary frequencies: f clk = f ref * (N fdbk /M ref ) However, if the rationals N and M are large, then special considerations must be taken to unsure stability of the system. There is still the problem of quantization induced jitter

13 Improving Jitter: Hybrid PLL Hybrd PLLs 100M Osc Freq 0.1M Lock some units digitally, pass on only the required number to analog control MHz ref Time Ref PFD CP Filter Analog Control Digital Freq Detect No quantization based noise potentially suitable for RF and switched cap filtering. Wide Range, Quick Locking, More stability than conventional analog PLL

14 Hybrid Analog/Digital PLL Example Simulates a hybrid lock to a 12.5 Mhz reference frequency. NCO is composed of 7 self-starved delay elements Hybrd PLLs 8 speed settings from 8 to 35 Mhz in ~3 Mhz steps. Start Running Quickly Slow Down when told We are no longer too fast Lock, and give analog control Roughly locked analog takes over.

15 Calibrated Delay Line / Delay Locked Loop Can be used in place of a PLL in many case. Locks the delay through a line to a particular reference. DLLs Snapshot of values through the chain at +ve edge clk. When reference edge peeks out of the delay chain, decide whether to increase or decrease the delay through the line. REFERENCE D Q D Q D Q Logic: Is the falling edge at the tail of the line? Yes Locked, No INC or DEC delay appropriately. Note: FSM must be tolerant to metastable inputs. Single sided form is usefull for clock manipulation generating offset phases, etc With dual-rails, we can force an external signal to undergo the same delay as the reference Usefull for highly accurate timing measurements as in ADC.

16 Clock and Data Recovery: Single Cycle Acquisition PLLs Quick PLL Typical Clock-recovery solutions require a PLL is trained before sampling data. An analog PLL would typically require hundreds of training pulses. A typical digital PLL would require 10s of pulses. There should be NO REASON why we can t lock to a transmitter s timing in ONE training pulse. D1 D0 Local Oscillator Line IN

17 Clock and Data Recovery: Single Cycle Acquisition PLLs Quick PLL D1 D0 Line IN Line IN D Q Snapshot of values through the chain at +ve edge clk. D Q Local Oscillator D Q Logic: Search for the falling edge, set that MUX into feedback. (Requires 2 gates + a latch for each stage) D Q D Q When training pulse peeks out of the delay chain: find the falling edge turn on the feedback loop creates a ring oscillator with the period of the training pulse Concerns: Resolution of MUXs Range vs. Area and Power Logic Delay compensation Extra precautions (not shown) protect against metastability. Note: We can use further transition information in the data to adjust the frequency accordingly.

18 Clock and Data Recovery: Frequency Re-Synthesis CDR 1) The training pulse fires off an oscillator at the same frequency as the transmitter. D1 D0 Line IN Glitcher Local Oscillator 2) We then use transition information in the data to update the frequency up or down. Problems: Very fine resolution NCO. Transition activity must be enforced. First pulse is slow to set off the clock. Stability is an issue. Data Line Set 1 2 Start Oscillator Transition Update CLK

19 Clock Data Recovery: Phase Alignment Assume a global frequency locked clock which has random phase relation across the chip. CDR Phase Alignment The data and clock will arrive within T seconds of each other. Attempt to pull the signals into phase before being sampled. Two paths race each other through interlocked latches extra delay is added to the path who is ahead. With slower clocks, the maximum pull-in range extends requires more racer stages at lower speeds Clock Data Clock Up to T D0 D1 Reasonable for T=0.5-2nS, f = 500Mbps 2Gbps. Data Phase Mis-Alignment Rather than pull into-phase, just ensure they are far enough out of phase. Prevent transitions near a clock-edge. Danger window T = set-up + hold time If the synchronizing bit transitions near an edge, bump it out of the way. Clock Data D0 D0 D1 D1 Practical concerns add to the safety window, and, the maximum speed of operation = 1 / (2*T window ) Danger Removes Delay to avoid clock edge. Suitable below 750 Mbps

20 Clock Data Recovery: Skew Adjustment Timing signal is transmitted along with the data. Bussed signals may not be routed together, and therefore experience different delays. simultaneously transmitted signals may arrive many clock cycles apart To solve After a handshake, an initial training pulse (all ones) is sent simultaneously along every channel. The receiver measures the relative delays in each path and compensates to remove skew. The transmitter can then send bit/nibble serially at ~ 750 Mbps/channel. CDR Clock Interconnect skew Skew Correction Data Channel(s) Measurement is performed with delay elements and interlocked sets of RS latches. Can compensate for arbitrary skew across the interconnect limited only by how much maximum compensation one wishes to add Lowering clock speed will solve any skew beyond HW limits.

21 High-Speed Self-Synchronizing Synchronizing IO System IO Using the timing circuits permit: Low power and area, pausable NCOs Efficient CDR schemes for Gbps serial links. Skew-correction across an arbitrary interconnect. ROUTE CONTROLLER Variable Freq Pausable OSC Source Subsystem Data WREN Variable Freq Pausable OSC Serial Data SYNC ACK Serial Low Area/Power Configurable Within architecture we can provide: Dynamic Routing Flow Control Error Detection and ARQ A simple synchronous interface to subsystems. Serial Data SYNC ACK Data RDREQ Variable Freq Pausable OSC Sink Subsystem

22 Conclusion Architecture Delays NCOs Digital PLLs Hybrd PLLs DLLs Quick PLL CDR System IO Pausable clocks of arbitrary frequency Fully digital Low-area, low-power local oscillators. Fast, Rough, timing locks without analog circuits High-throughput, error-tolerant, bit-serial links across domains Provide simple synchronous interfaces to generic IP modules Potentially unsuitable for RF mixing, and other jitter intolerant systems For Questions Offline: Gord Allan gallan.doe.carleton.ca Web:

23 Appendix: Timing Based Analog-Digital Conversion ADC Case 1: Case 2: An analog voltage into the VCO produces a variable rate clock. The clock period is measured, and converted to a digital word. An analog voltage charges a capacitor at a variable rate. We measure the time it takes to discharge. The time is measured in ticks of a very high frequency reference.

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 16: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project descriptions are posted on the website Preliminary

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 12: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report #2 due Apr. 20 Expand

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN620: Network Theory Broadband Circuit Design Fall 2012 Lecture 20: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 2 is on Friday Nov. 9 One double-sided 8.5x11

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

Introduction to CMOS RF Integrated Circuits Design

Introduction to CMOS RF Integrated Circuits Design VI. Phase-Locked Loops VI-1 Outline Introduction Basic Feedback Loop Theory Circuit Implementation VI-2 What is a PLL? A PLL is a negative feedback system where an oscillatorgenerated signal is phase and

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Clock Networks and Phase Lock Loops on Altera Cyclone V Devices Dr. D. J. Jackson Lecture 9-1 Global Clock Network & Phase-Locked Loops Clock management is important within digital

More information

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 138 CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 6.1 INTRODUCTION The Clock generator is a circuit that produces the timing or the clock signal for the operation in sequential circuits. The circuit

More information

INF4420 Phase locked loops

INF4420 Phase locked loops INF4420 Phase locked loops Spring 2012 Jørgen Andreas Michaelsen (jorgenam@ifi.uio.no) Outline "Linear" PLLs Linear analysis (phase domain) Charge pump PLLs Delay locked loops (DLLs) Applications Introduction

More information

Dedication. To Mum and Dad

Dedication. To Mum and Dad Dedication To Mum and Dad Acknowledgment Table of Contents List of Tables List of Figures A B A B 0 1 B A List of Abbreviations Abstract Chapter1 1 Introduction 1.1. Motivation Figure 1. 1 The relative

More information

Integrated Circuit Design for High-Speed Frequency Synthesis

Integrated Circuit Design for High-Speed Frequency Synthesis Integrated Circuit Design for High-Speed Frequency Synthesis John Rogers Calvin Plett Foster Dai ARTECH H O US E BOSTON LONDON artechhouse.com Preface XI CHAPTER 1 Introduction 1 1.1 Introduction to Frequency

More information

ENEE 359a Digital VLSI Design

ENEE 359a Digital VLSI Design SLIDE 1 ENEE 359a Digital VLSI Design : Conventions, Problems, Solutions Prof. blj@ece.umd.edu Credit where credit is due: Slides contain original artwork ( Jacob 2004) as well as material taken liberally

More information

Phase Locked Loop Design for Fast Phase and Frequency Acquisition

Phase Locked Loop Design for Fast Phase and Frequency Acquisition Phase Locked Loop Design for Fast Phase and Frequency Acquisition S.Anjaneyulu 1,J.Sreepavani 2,K.Pramidapadma 3,N.Varalakshmi 4,S.Triven 5 Lecturer,Dept.of ECE,SKU College of Engg. & Tech.,Ananthapuramu

More information

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters Jefferson A. Hora, Vincent Alan Heramiz,

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

TAPR TICC Timestamping Counter Operation Manual. Introduction

TAPR TICC Timestamping Counter Operation Manual. Introduction TAPR TICC Timestamping Counter Operation Manual Revised: 23 November 2016 2016 Tucson Amateur Packet Radio Corporation Introduction The TAPR TICC is a two-channel timestamping counter ("TSC") implemented

More information

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop Shaik. Yezazul Nishath School Of Electronics Engineering (SENSE) VIT University Chennai, India Abstract This paper outlines

More information

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements EE290C - Spring 04 Advanced Topics in Circuit Design High-Speed Electrical Interfaces Lecture 11 Components Phase-Locked Loops Viterbi Decoder Borivoje Nikolic March 2, 04. Announcements Homework #2 due

More information

f o Fig ECE 6440 Frequency Synthesizers P.E. Allen Frequency Magnitude Spectral impurity Frequency Fig010-03

f o Fig ECE 6440 Frequency Synthesizers P.E. Allen Frequency Magnitude Spectral impurity Frequency Fig010-03 Lecture 010 Introduction to Synthesizers (5/5/03) Page 010-1 LECTURE 010 INTRODUCTION TO FREQUENCY SYNTHESIZERS (References: [1,5,9,10]) What is a Synthesizer? A frequency synthesizer is the means by which

More information

Geared Oscillator Project Final Design Review. Nick Edwards Richard Wright

Geared Oscillator Project Final Design Review. Nick Edwards Richard Wright Geared Oscillator Project Final Design Review Nick Edwards Richard Wright This paper outlines the implementation and results of a variable-rate oscillating clock supply. The circuit is designed using a

More information

Sudatta Mohanty, Madhusmita Panda, Dr Ashis kumar Mal

Sudatta Mohanty, Madhusmita Panda, Dr Ashis kumar Mal International Journal of Scientific & Engineering Research, Volume 5, Issue 5, May-2014 45 Design and Performance Analysis of a Phase Locked Loop using Differential Voltage Controlled Oscillator Sudatta

More information

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2 13.2 An MLSE Receiver for Electronic-Dispersion Compensation of OC-192 Fiber Links Hyeon-min Bae 1, Jonathan Ashbrook 1, Jinki Park 1, Naresh Shanbhag 2, Andrew Singer 2, Sanjiv Chopra 1 1 Intersymbol

More information

Phase Locked Loops, Report Writing, Layout Tuesday, April 5th, 9:15 11:00

Phase Locked Loops, Report Writing, Layout Tuesday, April 5th, 9:15 11:00 Phase Locked Loops, Report Writing, Layout Tuesday, April 5th, 9:15 11:00 Snorre Aunet (sa@ifi.uio.no) Nanoelectronics group Department of Informatics University of Oslo Last time and today, Tuesday 5th

More information

Development of a 20 GS/s Sampling Chip in 130nm CMOS Technology

Development of a 20 GS/s Sampling Chip in 130nm CMOS Technology Development of a 20 GS/s Sampling Chip in 130nm CMOS Technology 2009 IEEE Nuclear Science Symposium, Orlando, Florida, October 28 th 2009 Jean-Francois Genat On behalf of Mircea Bogdan 1, Henry J. Frisch

More information

Choosing Loop Bandwidth for PLLs

Choosing Loop Bandwidth for PLLs Choosing Loop Bandwidth for PLLs Timothy Toroni SVA Signal Path Solutions April 2012 1 Phase Noise (dbc/hz) Choosing a PLL/VCO Optimized Loop Bandwidth Starting point for setting the loop bandwidth is

More information

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition P. K. Rout, B. P. Panda, D. P. Acharya and G. Panda 1 Department of Electronics and Communication Engineering, School of Electrical

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California A 4 GSample/s 8-bit ADC in 0.35 µm CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California 1 Outline Background Chip Architecture

More information

Circuit Design for a 2.2 GByte/s Memory Interface

Circuit Design for a 2.2 GByte/s Memory Interface Circuit Design for a 2.2 GByte/s Memory Interface Stefanos Sidiropoulos Work done at Rambus Inc with A. Abhyankar, C. Chen, K. Chang, TJ Chin, N. Hays, J. Kim, Y. Li, G. Tsang, A. Wong, D. Stark Increasing

More information

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck Synchronous Mirror Delays ECG 721 Memory Circuit Design Kevin Buck 11/25/2015 Introduction A synchronous mirror delay (SMD) is a type of clock generation circuit Unlike DLLs and PLLs an SMD is an open

More information

Digital Dual Mixer Time Difference for Sub-Nanosecond Time Synchronization in Ethernet

Digital Dual Mixer Time Difference for Sub-Nanosecond Time Synchronization in Ethernet Digital Dual Mixer Time Difference for Sub-Nanosecond Time Synchronization in Ethernet Pedro Moreira University College London London, United Kingdom pmoreira@ee.ucl.ac.uk Pablo Alvarez pablo.alvarez@cern.ch

More information

Lecture 7: Components of Phase Locked Loop (PLL)

Lecture 7: Components of Phase Locked Loop (PLL) Lecture 7: Components of Phase Locked Loop (PLL) CSCE 6933/5933 Instructor: Saraju P. Mohanty, Ph. D. NOTE: The figures, text etc included in slides are borrowed from various books, websites, authors pages,

More information

CHAPTER 4 GALS ARCHITECTURE

CHAPTER 4 GALS ARCHITECTURE 64 CHAPTER 4 GALS ARCHITECTURE The aim of this chapter is to implement an application on GALS architecture. The synchronous and asynchronous implementations are compared in FFT design. The power consumption

More information

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme Young-Chan Jang a) School of Electronic Engineering, Kumoh National Institute of Technology, 1, Yangho-dong,

More information

The Use and Design of Synchronous Mirror Delays. Vince DiPuccio ECG 721 Spring 2017

The Use and Design of Synchronous Mirror Delays. Vince DiPuccio ECG 721 Spring 2017 The Use and Design of Synchronous Mirror Delays Vince DiPuccio ECG 721 Spring 2017 Presentation Overview Synchronization circuit Topologies covered in class PLL and DLL pros and cons Synchronous mirror

More information

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control Sooho Cha, Chunseok Jeong, and Changsik Yoo A phase-locked loop (PLL) is described which is operable from 0.4 GHz to 1.2

More information

SiNANO-NEREID Workshop:

SiNANO-NEREID Workshop: SiNANO-NEREID Workshop: Towards a new NanoElectronics Roadmap for Europe Leuven, September 11 th, 2017 WP3/Task 3.2 Connectivity RF and mmw Design Outline Connectivity, what connectivity? High data rates

More information

Analogue to Digital Conversion

Analogue to Digital Conversion Analogue to Digital Conversion Turns electrical input (voltage/current) into numeric value Parameters and requirements Resolution the granularity of the digital values Integral NonLinearity proportionality

More information

Timing Issues in FPGA Synchronous Circuit Design

Timing Issues in FPGA Synchronous Circuit Design ECE 428 Programmable ASIC Design Timing Issues in FPGA Synchronous Circuit Design Haibo Wang ECE Department Southern Illinois University Carbondale, IL 62901 1-1 FPGA Design Flow Schematic capture HDL

More information

Phil Lehwalder ECE526 Summer 2011 Dr. Chiang

Phil Lehwalder ECE526 Summer 2011 Dr. Chiang Phil Lehwalder ECE526 Summer 2011 Dr. Chiang PLL (Phase Lock Loop) Dynamic system that produces a clock in response to the frequency and phase of an input clock by varying frequency of an internal oscillator.

More information

12-Bit Successive-Approximation Integrated Circuit A/D Converter AD ADC80

12-Bit Successive-Approximation Integrated Circuit A/D Converter AD ADC80 a 2-Bit Successive-Approximation Integrated Circuit A/D Converter FEATURES True 2-Bit Operation: Max Nonlinearity.2% Low Gain T.C.: 3 ppm/ C Max Low Power: 8 mw Fast Conversion Time: 25 s Precision 6.3

More information

Lecture 23: PLLs. Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class

Lecture 23: PLLs. Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 23: PLLs Announcements Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class Open book open notes Project

More information

To learn fundamentals of high speed I/O link equalization techniques.

To learn fundamentals of high speed I/O link equalization techniques. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab5 Equalization Circuits Objective To learn fundamentals of high speed I/O link equalization techniques. Introduction An ideal cable could propagate

More information

ICS PLL BUILDING BLOCK

ICS PLL BUILDING BLOCK Description The ICS673-01 is a low cost, high performance Phase Locked Loop (PLL) designed for clock synthesis and synchronization. Included on the chip are the phase detector, charge pump, Voltage Controlled

More information

Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator

Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator Nitin Kumar #1, Manoj Kumar *2 # Ganga Institute of Technology & Management 1 nitinkumarvlsi@gmail.com * Guru Jambheshwar University of Science

More information

A single-slope 80MS/s ADC using two-step time-to-digital conversion

A single-slope 80MS/s ADC using two-step time-to-digital conversion A single-slope 80MS/s ADC using two-step time-to-digital conversion The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

HF Receivers, Part 3

HF Receivers, Part 3 HF Receivers, Part 3 Introduction to frequency synthesis; ancillary receiver functions Adam Farson VA7OJ View an excellent tutorial on receivers Another link to receiver principles NSARC HF Operators HF

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 010 Lecture 3: CDR Wrap-Up Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam is April 30 Will emphasize

More information

SV2C 28 Gbps, 8 Lane SerDes Tester

SV2C 28 Gbps, 8 Lane SerDes Tester SV2C 28 Gbps, 8 Lane SerDes Tester Data Sheet SV2C Personalized SerDes Tester Data Sheet Revision: 1.0 2015-03-19 Revision Revision History Date 1.0 Document release. March 19, 2015 The information in

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers Muhammad Nummer and Manoj Sachdev University of Waterloo, Ontario, Canada mnummer@vlsi.uwaterloo.ca, msachdev@ece.uwaterloo.ca

More information

20Gb/s 0.13um CMOS Serial Link

20Gb/s 0.13um CMOS Serial Link 20Gb/s 0.13um CMOS Serial Link Patrick Chiang (pchiang@stanford.edu) Bill Dally (billd@csl.stanford.edu) Ming-Ju Edward Lee (ed@velio.com) Computer Systems Laboratory Stanford University Stanford University

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 010 Lecture 7: PLL Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 8: Charge Pump Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda HW2 is due Oct 6 Exam 1 is

More information

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 03, 2015 ISSN (online): 2321-0613 Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni

More information

A GHz Wideband Sub-harmonically Injection- Locked PLL with Adaptive Injection Timing Alignment Technique

A GHz Wideband Sub-harmonically Injection- Locked PLL with Adaptive Injection Timing Alignment Technique A 2.4 3.6-GHz Wideband Sub-harmonically Injection- Locked PLL with Adaptive Injection Timing Alignment Technique Abstract: This paper proposes a wideband sub harmonically injection-locked PLL (SILPLL)

More information

Analogue to Digital Conversion

Analogue to Digital Conversion Analogue to Digital Conversion Turns electrical input (voltage/current) into numeric value Parameters and requirements Resolution the granularity of the digital values Integral NonLinearity proportionality

More information

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT PRADEEP G CHAGASHETTI Mr. H.V. RAVISH ARADHYA Department of E&C Department of E&C R.V.COLLEGE of ENGINEERING R.V.COLLEGE of ENGINEERING Bangalore

More information

ALL-DIGITAL FREQUENCY SYNTHESIZER IN DEEP-SUBMICRON CMOS

ALL-DIGITAL FREQUENCY SYNTHESIZER IN DEEP-SUBMICRON CMOS ALL-DIGITAL FREQUENCY SYNTHESIZER IN DEEP-SUBMICRON CMOS ROBERT BOGDAN STASZEWSKI Texas Instruments PORAS T. BALSARA University of Texas at Dallas WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC., PUBLICATION

More information

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas Self-Biased PLL/DLL ECG721 60-minute Final Project Presentation Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas Outline Motivation Self-Biasing Technique Differential Buffer

More information

A Flying-Adder Architecture of Frequency and Phase Synthesis With Scalability

A Flying-Adder Architecture of Frequency and Phase Synthesis With Scalability IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 5, OCTOBER 2002 637 A Flying-Adder Architecture of Frequency and Phase Synthesis With Scalability Liming Xiu, Member, IEEE,

More information

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection Somnath Kundu 1, Bongjin Kim 1,2, Chris H. Kim 1 1

More information

Lecture 9: Clocking for High Performance Processors

Lecture 9: Clocking for High Performance Processors Lecture 9: Clocking for High Performance Processors Computer Systems Lab Stanford University horowitz@stanford.edu Copyright 2001 Mark Horowitz EE371 Lecture 9-1 Horowitz Overview Reading Bailey Stojanovic

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Engineering, Technology & Applied Science Research Vol. 7, No. 2, 2017, 1473-1477 1473 A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Hamidreza Esmaeili Taheri Department of Electronics

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN620: Network Theory Broadband Circuit Design Fall 2012 Lecture 11: Charge Pump Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda Exam 1 is on Wed. Oct 3

More information

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers Michael H. Perrott March 19, 2004 Copyright 2004 by Michael H. Perrott All rights reserved. 1 High Speed Frequency

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Optimization of Digitally Controlled Oscillator with Low Power

Optimization of Digitally Controlled Oscillator with Low Power IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. I (Nov -Dec. 2015), PP 52-57 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Optimization of Digitally Controlled

More information

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer Mohit Arora The Art of Hardware Architecture Design Methods and Techniques for Digital Circuits Springer Contents 1 The World of Metastability 1 1.1 Introduction 1 1.2 Theory of Metastability 1 1.3 Metastability

More information

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING 3 rd Int. Conf. CiiT, Molika, Dec.12-15, 2002 31 DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING M. Stojčev, G. Jovanović Faculty of Electronic Engineering, University of Niš Beogradska

More information

VLSI Design Verification and Test Delay Faults II CMPE 646

VLSI Design Verification and Test Delay Faults II CMPE 646 Path Counting The number of paths can be an exponential function of the # of gates. Parallel multipliers are notorious for having huge numbers of paths. It is possible to efficiently count paths in spite

More information

Converter IC for Cellular Phone. Mode Digitally-Controlled Buck. A 4 µa-quiescent-current Dual- Applications. Jianhui Zhang Prof.

Converter IC for Cellular Phone. Mode Digitally-Controlled Buck. A 4 µa-quiescent-current Dual- Applications. Jianhui Zhang Prof. A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications Jinwen Xiao Angel Peterchev Jianhui Zhang Prof. Seth Sanders Power Electronics Group Dept. of

More information

Linear Integrated Circuits

Linear Integrated Circuits Linear Integrated Circuits Single Slope ADC Comparator checks input voltage with integrated reference voltage, V REF At the same time the number of clock cycles is being counted. When the integrator output

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 34 CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 3.1 Introduction A number of PWM schemes are used to obtain variable voltage and frequency supply. The Pulse width of PWM pulsevaries with

More information

This chapter discusses the design issues related to the CDR architectures. The

This chapter discusses the design issues related to the CDR architectures. The Chapter 2 Clock and Data Recovery Architectures 2.1 Principle of Operation This chapter discusses the design issues related to the CDR architectures. The bang-bang CDR architectures have recently found

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

Modeling And Implementation of All-Digital Phase-Locked Loop Based on Vernier Gated Ring Oscillator Time-to-Digital Converter

Modeling And Implementation of All-Digital Phase-Locked Loop Based on Vernier Gated Ring Oscillator Time-to-Digital Converter Master s Thesis Modeling And Implementation of All-Digital Phase-Locked Loop Based on Vernier Gated Ring Oscillator Time-to-Digital Converter Ji Wang Department of Electrical and Information Technology,

More information

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR Yang-Shyung Shyu * and Jiin-Chuan Wu Dept. of Electronics Engineering, National Chiao-Tung University 1001 Ta-Hsueh Road, Hsin-Chu, 300, Taiwan * E-mail:

More information

Research on Self-biased PLL Technique for High Speed SERDES Chips

Research on Self-biased PLL Technique for High Speed SERDES Chips 3rd International Conference on Machinery, Materials and Information Technology Applications (ICMMITA 2015) Research on Self-biased PLL Technique for High Speed SERDES Chips Meidong Lin a, Zhiping Wen

More information

A Fast Locking Digital Phase-Locked Loop using Frequency Difference Stage

A Fast Locking Digital Phase-Locked Loop using Frequency Difference Stage International Journal of Engineering & Technology IJET-IJENS Vol:14 No:04 75 A Fast Locking Digital Phase-Locked Loop using Frequency Difference Stage Mohamed A. Ahmed, Heba A. Shawkey, Hamed A. Elsemary,

More information

Broadcom. BCM4334 Single Chip Dual-Band Combo Wireless Connectivity Device. Circuit Analysis of Wi-Fi Transceiver

Broadcom. BCM4334 Single Chip Dual-Band Combo Wireless Connectivity Device. Circuit Analysis of Wi-Fi Transceiver Broadcom BCM4334 Single Chip Dual-Band Combo Wireless Connectivity Device Circuit Analysis of Wi-Fi Transceiver 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613.829.0414 Fax: 613.829.0515

More information

The SOL-20 Computer s Cassette interface.

The SOL-20 Computer s Cassette interface. The SOL-20 Computer s Cassette interface. ( H. Holden. Dec. 2018 ) Introduction: The Cassette interface designed by Processor Technology (PT) for their SOL-20 was made to be compatible with the Kansas

More information

Low Skew CMOS PLL Clock Drivers

Low Skew CMOS PLL Clock Drivers Low Skew CMOS PLL Clock Drivers The MC88915 Clock Driver utilizes phase-locked loop technology to lock its low skew outputs' frequency and phase onto an input reference clock. It is designed to provide

More information

European Conference on Nanoelectronics and Embedded Systems for Electric Mobility

European Conference on Nanoelectronics and Embedded Systems for Electric Mobility European Conference on Nanoelectronics and Embedded Systems for Electric Mobility ecocity emotion 24-25 th September 2014, Erlangen, Germany Low Power Consideration in Transceiver Design for Internet of

More information

DS H01 DIGITAL SYNTHESIZER MODULE SYSTEM SOLUTIONS. Features Applications 174 x 131 x 54 mm. Technical Description

DS H01 DIGITAL SYNTHESIZER MODULE SYSTEM SOLUTIONS. Features Applications 174 x 131 x 54 mm. Technical Description DS H01 The DS H01 is a high performance dual digital synthesizer with wide output bandwidth specially designed for Defense applications where generation of wideband ultra-low noise signals along with very

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication Peggy B. McGee, Melinda Y. Agyekum, Moustafa M. Mohamed and Steven M. Nowick {pmcgee, melinda, mmohamed,

More information

Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications

Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications Duo Sheng 1a), Ching-Che Chung 2,andChen-YiLee 1 1 Department of Electronics Engineering & Institute of

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 LECTURE 160 CDR EXAMPLES INTRODUCTION Objective The objective of this presentation is: 1.) Show two examples of clock and data recovery

More information

DLL Based Frequency Multiplier

DLL Based Frequency Multiplier DLL Based Frequency Multiplier Final Project Report VLSI Chip Design Project Project Group 4 Version 1.0 Status Reviewed Approved Ameya Bhide Ameya Bhide TSEK06 VLSI Design Project 1 of 29 Group 4 PROJECT

More information

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI Assistant Professor, E Mail: manoj.jvwu@gmail.com Department of Electronics and Communication Engineering Baldev Ram Mirdha Institute

More information

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems M. Meghelli 1, A. Rylyakov 1, S. J. Zier 2, M. Sorna 2, D. Friedman 1 1 IBM T. J. Watson Research Center 2 IBM

More information