ENEE 359a Digital VLSI Design

Size: px
Start display at page:

Download "ENEE 359a Digital VLSI Design"

Transcription

1 SLIDE 1 ENEE 359a Digital VLSI Design : Conventions, Problems, Solutions Prof. blj@ece.umd.edu Credit where credit is due: Slides contain original artwork ( Jacob 2004) as well as material taken liberally from Irwin & Vijay s CSE477 slides (PSU), Schmit & Strojwas s slides (CMU), Dally s EE273 slides (Stanford), Wolf s slides for Modern VLSI Design, and/or Rabaey s slides (UCB). Asynchronous circuits: Erik Brunvand.

2 SLIDE 2 Overview Motivation: What Needs To Be Done? (and Why It Matters) (Elmore primer) Timing Conventions Synchronous & Source-Synchronous Self-Timed Circuits Dealing with Problems of Global Clocks Balanced Trees The Wonderful World of DLLs/PLLs

3 What Needs To Be Done? SLIDE 3 Clk SRC By the way: global clock scheme

4 SLIDE 4 Background: Elmore Delays τ Di = N k = 1 C k R ik N 3 R 4 C4 N 4 R 3 C 3 Clk SRC R 1 N 1 N 2 C1 R 2 C2

5 SLIDE 5 Background: Elmore Delays τ Di = N k = 1 C k R ik 1 τ D3 = 6 τ D4 = 7 C 1 + C 2 + 2C 3 + 2C C 1 + C 2 + 2C 3 + 3C 4 1 τ D1 = 4 τ D2 = 5 Clk SRC 1 1 C 1 + C 2 + C 3 + C C 1 + 2C 2 + C 3 + C 4

6 End Result: Clock Skew SLIDE 6 1 time unit Clk SRC In general, even nearby clocks not in synch

7 Idea: Detect 0 vs. 1 D Data D SLIDE 7 Clock V 1 This is a 1 t bit V 0 V 1 t bit V 0 This is a 0 V eye V 1 t eye V 0 EYE: space between 1 & 0

8 Basic Problem: Voltage Noise D Data D SLIDE 8 Clock V eye Data signal with t eye voltage noise Clock signal with voltage noise Voltage noise reduces operating margins

9 Basic Problem: Timing Noise D Data D SLIDE 9 Clock t eye V eye Data signal with timing noise Clock signal with timing noise Timing noise reduces operating frequency

10 Basic Problem: Both D Data D SLIDE 10 Clock t eye V eye Data signal with both noise sources Clock signal with both noise sources Note: Clock signal is just another signal subject to same constraints of voltage noise, skew and jitter as data signals

11 Eye Diagram SLIDE 11 Yes, there really is that much voltage noise; Yes, there really is that much timing noise Life sucks; deal with it.

12 SLIDE 12 Definitions: Skew clock - clock skew Sub Channel 1 Sub Channel 2 data - data skew - Static timing displacement from ideal design - Caused by differences in signal path characteristics - Total timing budget must take data-data skew, data-clock skew as well as clock-clock skew into cycle budget consideration

13 Definitions: Jitter SLIDE 13 - Dynamic timing displacement from nominal timing characteristics - Magnitude and offset of timing displacement could depend on: previous signal state(s), current signal state(s), supply voltage level(s), crosstalk, variations in thermal characteristics. Perhaps even phases of the moon (not proven).

14 Cycle Budget t tran t skew t eye SLIDE 14 t cycle t skew = max(skew) + max(jitter) t tran = Edge transition time = max(rise_time, fall_time) t eye t cycle t tran t skew

15 SLIDE 15 Timing: Clock Data Define when a value is present on a line How many 1 s? How many 0 s? Need convention to distinguish where one 1 ends and next 1 begins conventions typically mark boundaries w/ TRANSITIONS of the signal itself of an associated clock signal (original definition of synchronous ) Uncertainty in timing limits operating speed

16 Timing Conventions SLIDE 16 Apply to both inter- and intra-chip signaling

17 SLIDE 17 Setup Time Required time for input to be stable BEFORE CLOCK EDGE

18 Setup Time Fix SLIDE 18

19 Setup Time Fix II SLIDE 19

20 SLIDE 20 Hold Time Required time for input to be stable AFTER CLOCK EDGE

21 Hold Time Violations SLIDE 21

22 SLIDE 22 Basic Timing Analysis Look for longest path: clock speed Look for shortest path: check hold time Difficult problem, e.g. False Paths

23 SLIDE 23 A Tale of Two (or more) Timing Conventions Synchronous: global clock Synchronous: source-synchronous I ( open-loop meaning no control loop) Synchronous: source-synchronous II ( closed loop meaning feedback control) Asynchronous: self-timed

24 SLIDE 24 System Building Blocks DELAY ELEMENTS Nominal delay Timing uncertainty, skew, jitter COMBINATIONAL LOGIC Contamination delay Propagation delay CLOCKED STORAGE ELEMENTS Align signal to a clock Signal waits to be sampled by clock Output held steady until next clock

25 SLIDE 25 Edge-Triggered Register Samples data on rising edge of CLK Data must remain valid during an aperture of time during sampling Output held steady until next CLK edge Output is held until a contamination delay following CLK edge Tcontamination Tpropagation Output has a correct value after a propagation delay following CLK edge

26 SLIDE 26 Other Storage Elements LEVEL-SENSITIVE LATCH Passes data through when enable (clock) is high Holds data stable when enable (clock) is low DUAL-EDGE-TRIGGERED FLIP-FLOP/REGISTER Samples data at both edges of the clock Internally two interleaved flip-flops (1 posedge FF + 1 negedge FF) Allows CLK to run at same speed as data

27 SLIDE 27 Eye Diagram, Redux MAXIMUM OPERATING RATE (i.e., signaling speed) LIMITED BY THREE FACTORS: t bit Tr Transition time (rise/fall time) Tu Timing uncertainty, skew, jitter Ta Aperture time Tbit Tr + Tu + Ta (but not that simple )

28 SLIDE 28 Synchronous Timing I GLOBAL CLOCK (Conventional) EXAMPLE 6.25ns ± 0.1ns Tx 8 data lines Rx B1 B4 B1 400MHz Matched Lines ± 0.1ns (1.5cm) B4 Parameter Symbol Nominal Skew Jitter Bit Cell (data period) Tbit 2.5 ns Transmitter Rise Time Tr 1.0 ns Cable Delay Twire 6.25 ns 100 ps Receiver Aperture Ta 300 ps 100 ps 50 ps Transmitter Delay 500 ps 150 ps 50 ps Buffer Stage Delay B# 250 ps 100 ps 50 ps

29 SLIDE 29 Synchronous Timing I Sources of uncertainty: Skew (across multiple lines) of line delay B1 B1 400MHz B4 Tx 6.25ns ± 0.1ns 8 data lines Matched Lines ± 0.1ns (1.5cm) B4 Rx Jitter of Tx, Rx, and line delay Skew and jitter of global clock (usually large due to high fan-out) For best performance, center sampling edge on data eye Ta = 300ps Tbit - Tr = 1.5ns Tbit = 2.5ns Margin = 600ps Gross timing margin = 1/2 [ Tbit - Tr - Ta] = ± 600ps = 1/2 Tu?

30 SLIDE 30 An Aside Why do we simply add up the uncertainties? And why does each effectively count twice? DATA w/ no skew/jitter CLOCK w/ no skew/jitter 1 time unit 1 time unit DATA early by 1 time unit CLOCK late by 1 time unit 2 time units

31 Synchronous Timing I SLIDE 31 TIMING ANALYSIS Clock Skew: 100ps lines + 100ps B ps B4 B1 B1 400MHz B4 Tx 6.25ns ± 0.1ns 8 data lines Matched Lines ± 0.1ns (1.5cm) B4 Rx Clock Jitter: 50ps B ps B4 [CLKs times 2: one for xmit, one for recv] Transmitter: 150ps skew, 50ps jitter Receiver: 100ps skew, 50ps jitter Data Cable: 100ps skew TOTAL: 1550ps skew, 600ps jitter (BAD)

32 Synchronous Timing I LIMITS TO LINE DELAY & DATA FREUENCY B1 B4 Tx 6.25ns ± 0.1ns 8 data lines Rx SLIDE 32 B1 Conventional Wisdom: 400MHz Line Delay Must Be ODD NUMBER of HALF-BITS WHY? Matched Lines ± 0.1ns (1.5cm) B4 0 half-bits For fixed line length and tight margins, this limits the bus speeds that can be used

33 Synchronous Timing I SUMMARY B1 B4 Tx 6.25ns ± 0.1ns 8 data lines Rx SLIDE 33 GLOBALLY SYNCHRONOUS DESIGN: B1 400MHz Matched Lines ± 0.1ns (1.5cm) B4 For long wires and high speeds, only a handful of frequencies work Impractical to control uncertainties Cannot switch frequencies

34 Synchronous Timing II PIPELINED TIMING: BASIC IDEA SLIDE 34 Delay the clock by the same amount as data PLUS half a bit-cell System will work from DC to maximum theoretical frequency 1/(Tr + Tu + Ta) Defines new clock domain at receiving end

35 SLIDE 35 Synchronous Timing II SOURCES OF UNCERTAINTY SKEW: Between CLK & Data line Fixed differences in FF, Tx, Rx delays Different CLK delays to different FFs Aperture offset in Rx FF Extra offset in the delayed CLK line JITTER: In Tx clock In FF, Tx, Rx delays

36 SLIDE 36 Synchronous Timing II OPEN-LOOP PIPELINED EXAMPLE Tx Rx 6.25ns ± 0.1ns Data In 8 data lines Sync Toggle 6.25ns ± 0.1ns RClk 90 B1 B4 B1 200MHz Lines need not be matched B4 Internal Clock Network

37 Synchronous Timing II SLIDE 37 TIMING ANALYSIS Xmit data: 150ps skew, 50ps jitter Data In Toggle B1 B4 Tx 6.25ns ± 0.1ns 8 data lines 6.25ns ± 0.1ns RClk 90 Rx Sync Internal Clock Network Xmit toggle: 150ps skew, 50ps jitter B1 200MHz Lines need not be matched B4 Receiver: 100ps skew, 50ps jitter Data cable: 100ps skew Toggle clock cable: 100ps skew TOTAL: 600ps skew, 150ps jitter (BETTER)

38 SLIDE 38 Synchronous Timing III CLOSED-LOOP PIPELINED EXAMPLE Tx Rx 6.25ns ± 0.1ns Data In 8 data lines Sync Toggle 6.25ns ± 0.1ns RClk B1 B4 FSM B MHz Lines need not be matched B4 To rest of receive chip Variable delay line can cancel ALL SKEW

39 SLIDE 39 Synchronous Timing III COMPONENTS of CONTROL LOOP (DLL) Ref. Clock (Input) Variable delay line (control) Delayed Clock (Output) Rx Sync Ref. Data/CK (Input) Phase Compare Loop Filter DFF as a Phase Comparator B D Y FSM A 90 Y PHASE AB

40 SLIDE 40 Synchronous Timing III TIMING ANALYSIS Xmit data: 50ps jitter Recv data: 50ps jitter Data In Xmit toggle: 30ps skew data/toggle (0?), 50ps jitter Toggle B1 B1 200MHz B4 Tx 6.25ns ± 0.1ns 6.25ns ± 0.1ns RClk Lines need not be matched 8 data lines B4 Rx Sync FSM 90 To rest of receive chip Recv toggle: 20ps skew (0?), 50ps jitter Data cable: 100ps skew Toggle clock cable: 100ps skew TOTAL: 250ps skew, 200ps jitter (GOOD!)

41 SLIDE 41 Synchronous Timing II & III LIMITS TO LINE DELAY & DATA FREUENCY None. Data In Toggle B1 B1 200MHz B4 Tx 6.25ns ± 0.1ns RClk Lines need not be matched 6.25ns ± 0.1ns 8 data lines B4 90 Rx Internal Clock Network Sync Only limiter to bus frequency is the rate at which you can successfully transmit & receive data (e.g. Taperture + Tuncertainty + Ttransmit) Data In Toggle B1 B1 200MHz B4 Tx 6.25ns ± 0.1ns 8 data lines 6.25ns ± 0.1ns RClk Lines need not be matched B4 Rx Sync FSM 90 To rest of receive chip

42 SLIDE 42 Asynchronous Timing Basic Idea: no clocks ADVANTAGES: Achieve average-case performance (good if difference between average & worst case is large) Consume power only when needed (i.e., only when actually processing data) Easy modular composition (designer focuses on local issues, not global issues) No clock alignment required (no expensive DLLs/PLLs) No clock distribution headaches (saves design time, power consumption, chip area) Robust in the face of parameter variations (e.g., temperature/voltage fluctuations, process variations) Global synchrony is a fallacy anyway! (i.e., face the problem head-on)

43 SLIDE 43 Asynchronous Timing SELF-TIMED CIRCUITS Worst-Case Delay: sets clock period in synchronous designs (time: N * max delay) D Clk D Clk D Clk t setup t pff t p t setup t pff t p t setup t pff t p MAX Average-Case Delay: asynchronous designs achieve it (time: delay 1 + delay 2 + delay 3 ) RE ACK Handshaking RE ACK Handshaking RE ACK Handshaking RE ACK t p t detect t p t detect t p t detect

44 SLIDE 44 Asynchronous Timing HANDSHAKING PROTOCOLS Four-Phase / RTZ / Level Signaling specific protocol determines data-release point RE ACK One transaction DATA Two-Phase / NRTZ / Transition Signaling RE ACK DATA One transaction Another transaction

45 SLIDE 45 Asynchronous Timing DATA SIGNALING Bundled Data: normal data wires, one per bit, with associated valid signal RE ACK Handshaking RE ACK Handshaking RE ACK Handshaking RE ACK DATA DATA VALID DATA DATA VALID DATA DATA VALID Dual-Rail Data: two wires per bit, encoded (00 = no data, 01 = 0, 10 = 1, 11 = error) ACK RE Handshaking ACK RE Handshaking ACK RE Handshaking RE ACK

46 SLIDE 46 Asynchronous Timing GOTCHA: Glitches on output (RE line) One Solution CRITICAL PATH REPLICAS Match the critical-path delay through the combinational logic block When a start signal indicates to begin processing, send signal through REPLICA DELAY block logic block is done processing when signal exits REPLICA DELAY Replica Delay Replica Delay Replica Delay Start Start Start RE ACK Start Handshaking RE ACK Start Handshaking RE ACK Start Handshaking RE ACK DATA DATA VALID DATA DATA VALID DATA DATA VALID t p t p t p

47 SLIDE 47 Asynchronous Timing GOTCHA: Glitches on output (RE line) Another Solution DUAL-RAIL CODING VDD VDD Start B0 B1 In1 & In1 In2 & In2 In3 & In3 Pull-down Network (nfet network) Pull-down Network (same topology) Start B0/B1 = 0/0 = no data B0/B1 = 0/1 = 0 B0/B1 = 1/0 = 1 B0/B1 = 1/1 = error

48 SLIDE 48 A Tale of Three Pipelines Asynchronous: self-timed Synchronous: global clock I ( normal design: unbalanced pipe) Synchronous: global clock II (intentionally skews clock to balance pipe, uses wave pipelining)

49 SLIDE 49 Asynchronous Pipeline RE ACK Handshaking RE ACK Handshaking RE ACK Handshaking RE ACK t p =20 t detect =1 t p =10 t detect =1 t p =30 t detect =1 Say best/worst case = 0.5 (e.g. best=10/5/15) On average, graduate one result every ( ) 2 time units (say ns): roughly every 23.5ns, for an effective speed of 43MHz Delay through pipe for single item = 33/63ns

50 Synchronous Pipeline I D Clk D Clk D Clk SLIDE 50 t latch =1 t p =20 t latch =1 t p =10 t latch =1 t p =30 MAX = 31ns Clock period = 31ns By design, graduate one result every 31ns, for an effective speed of 32MHz Delay through pipe for single item = 93ns

51 Synchronous Pipeline II D Clk D Clk D Clk D Clk SLIDE 51 t latch =1 t p =20 t latch =1 t p =10 t latch =1 t p =30 CLK 1 CLK 2 21ns 10ns neg. skew CLK 3 11ns CLK 4 31ns Clock period = 21ns; intentionally skew clock to register #3 to arrive 10ns early By design, graduate one result every 21ns, for an effective speed of 48MHz Delay through pipe for single item = 63ns

52 Synchronous Pipeline II D Clk D Clk D Clk D Clk SLIDE 52 t latch =1 t p =20 t latch =1 t p =10 t latch =1 t p =30 CLK 1 CLK 2 21ns 10ns neg. skew CLK 3 11ns CLK 4 31ns Clock period = 21ns; intentionally skew clock to register #3 to arrive 10ns early By design, graduate one result every 21ns, for an effective speed of 48MHz Note: This requires use of wave-pipelining design techniques on last combinational logic block very hard to do (probably much easier to do asynchronous design)

53 Global Clock I Transmitter Receiver D D 0 2 Data 3 5 SLIDE 53 1 Clock : Assume data is stable for setup time before clock edge 1: Rising edge of transmitter clock 2: Transmitter begins to drive data (perhaps through logic) 3: Signal reaches input of receiver. 4: Rising edge of receiver clock 5: Receiver latches data and drives internal signal lines 5

54 SLIDE 54 Global Clock II: Parallel Data Transmitter Receiver D D 2 Data 3 5 Clock Data 3 5 D D transmitter input transmitter clock transmitter output 0 & 0 1 & 1 2 & 2 receiver input receiver clock 3 & 3 4 & 4 receiver output 5 & 5 - Skew and jitter eats into timing budget - Luckily, uncertainty does not accumulate beyond latches

55 Clock Skew & Pipelines SLIDE 55 t l,min t r,min t l,max t r,max D Clk t i Clk D t ø t ø t ø D Clk Ø Clock edge timing depends upon position A clock line behaves as a distributed RC line Each register sees a local clock time depending on their distance from the clock source -> clock skew Ø skew = t ø t ø (> 0 or <0) Clock skew can severely affect the performance Note: we assumed here t setup =0

56 Constraints on Skew Ø t ø Ø skew t r,min + t l,min + t i Ø t ø = t ø + Ø skew SLIDE 56 R1 DATA R2 (a) Race between clock and data Earliest time If the local clock of R2 is delayed w.r.t. R1, it might happen that the inputs of R2 change before the previous data is latched -> race Ø skew t r,min + t i + t l,min Ø Ø skew Ø Ø + T t ø t r,max + t l,max + t i t ø + T = t ø + T + Ø skew R1 DATA R2 (b) Data should be stable before clock Worst case The correct input data is stable at R2 after the worst-case propagation delay. The clock period must be large enough for the computations to settle. T t r,max + t i + t l,max Ø skew

57 Clock Constraints in Edge-Triggered SLIDE 57 (1) Ø skew t r,min + t i + t l,min (2) T t r,max + t i + t l,max Ø skew Maximum Clock Skew Determined by Minimum Delay between Latches (condition 1) Minimum Clock Period Determined by Maximum Delay between Latches (condition 2)

58 SLIDE 58 Positive and negative Skew POSITIVE SKEW: D Clk D Clk D Clk Ø Clock routed in same direction as data The skew has to satisfy (1) If it violates (1), then the circuit malfunction independently of the clock period Clock period decreases!!! NEGATIVE SKEW: D Clk D Clk D Clk Clock routed in opposite direction as data Ø (1) is satisfied implicitly. The circuit operates correctly independently of the skew Clock period increases by Ø skew

59 Clock Tree I SLIDE 59 Every branch sees same wire length and capacitance The clock skew is theoretically zero Clock distribution is a major design problem! The sub-blocks should be small enough s.t. the skew within the block is tolerable Essential to consider clock distribution early in the design process

60 Clock Tree I SLIDE 60 An on-chip clock tree

61 Clock Tree II (I with buffers) SLIDE 61 buffer chips could have +/- xx% tolerance - Large synchronous systems require all components (chips or registers) to be driven by clock signal. - Clock signal paths and buffers could introduce both skew and jitter at each stage - Jitter and skew are additive with larger systems. More buffering, more skew and jitter.

62 DEC Alpha SLIDE M Transistors, 4 metal layers, 0.55µm Clock Freq: 300 MHz Clock Load: 3.75 nf Power in Clock = 20W (out of 50W) Two Level Clock Distribution: Single 6-stage driver at center Secondary buffers drive left and right side Max clock skew less than 100psec Routing the clock in the opposite direction Proper timing

63 Clock Skew in Alpha SLIDE 63

64 SLIDE 64 Dual Edge Clocking Data Clock - Only one edge of clock latches data - Duty cycle of clock signal is not relevent - Clock signal operating at 2X switching rate of data - Always a clock edge where you need one Data Clock - Both edges of clock used to latch in data - Duty cycle & rise/fall times of clock must be even - Clock signal must be phase shifted by 90 degrees relative to phase of data signal - How do you get 90 degrees??

65 Phase Locked Loop SLIDE 65 D VCO Freq/ Phase Loop Filter φ out - Given a data signal, recover the frequency and phase of the data signal, generate local reference clock φ out - Local reference clock may be frequency multiple of input clock - PLL depends on data input to provide enough signal transitions to lock onto, else PLL could lose coherency. - Modern processors utilize PLL s for frequency multiplication

66 Voltage Controlled Oscillator SLIDE 66 Ring Oscillator - VCO may be designed from ring oscillator where voltage controls the number of (odd) stages of inverters in the feedback ring L C(v) LC Oscillator (conceptual illustration) - VCO may be designed from resonant oscillator where voltage controls capacitance in LC circuit.

67 Delay Locked Loop SLIDE 67 D φ r Phase Comp φ Loop Filter φ out - Given a data signal and reference clock, compare and adjust phase of local clock signal by φ - Unlike PLL, requires reference clock - Hence, no need to recover clock signal with VCO - Modern DRAM with dual edged clocking utilizes DLL s for phase compensation. (gets you 90 degrees)

68 Zero-Skew Clock Distribution SLIDE 68 PLL or DLL

69 DLL in DDR SDRAM This represents a delay D of the clock signal from clock input pad to data output drivers D CK EXT CK Buffers CK EXT CK INT SLIDE 69 DRAM Array CMD READ D EXT CK INT Data D EXT Additional delay through D drivers Ideally, these two edges would be aligned This represents a delay D of the clock signal from clock input pad to data output drivers Delay DLL + D D Delay DLL The DLL delays the internal clock (CK INT ) so that the total delay equals one full clock cycle, and thus CK INT is now in sync with CK EXT thus, D EXT is also (roughly) in sync with CK EXT CK EXT Delay CK Buffers CK EXT Delay introduced by DLL CK INT DRAM Array CMD READ Filter D EXT Phase Comp CK INT Data D EXT Additional delay through D drivers These two edges now more closely aligned The Phase Comparison, Loop Filter, and Variable Delay components constitute a DLL

Lecture/s 10+11 Interconnects SLIDE 1 ENEE 359a Digital Electronics Interconnects Prof. blj@eng.umd.edu Credit where credit is due: Slides contain original artwork ( Jacob 2004) as well as material taken

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

Lecture 9: Clocking for High Performance Processors

Lecture 9: Clocking for High Performance Processors Lecture 9: Clocking for High Performance Processors Computer Systems Lab Stanford University horowitz@stanford.edu Copyright 2001 Mark Horowitz EE371 Lecture 9-1 Horowitz Overview Reading Bailey Stojanovic

More information

Timing Issues in FPGA Synchronous Circuit Design

Timing Issues in FPGA Synchronous Circuit Design ECE 428 Programmable ASIC Design Timing Issues in FPGA Synchronous Circuit Design Haibo Wang ECE Department Southern Illinois University Carbondale, IL 62901 1-1 FPGA Design Flow Schematic capture HDL

More information

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication Peggy B. McGee, Melinda Y. Agyekum, Moustafa M. Mohamed and Steven M. Nowick {pmcgee, melinda, mmohamed,

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

ENEE 359a Digital VLSI Design

ENEE 359a Digital VLSI Design SLIDE 1 ENEE 359a Digital VLSI Design Some & How to Deal with Them Prof. blj@eng.umd.edu Credit where credit is due: Slides contain original artwork ( Jacob 2004) as well as material taken liberally from

More information

The Use and Design of Synchronous Mirror Delays. Vince DiPuccio ECG 721 Spring 2017

The Use and Design of Synchronous Mirror Delays. Vince DiPuccio ECG 721 Spring 2017 The Use and Design of Synchronous Mirror Delays Vince DiPuccio ECG 721 Spring 2017 Presentation Overview Synchronization circuit Topologies covered in class PLL and DLL pros and cons Synchronous mirror

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

EE 42/100 Lecture 24: Latches and Flip Flops. Rev A 4/14/2010 (8:30 PM) Prof. Ali M. Niknejad

EE 42/100 Lecture 24: Latches and Flip Flops. Rev A 4/14/2010 (8:30 PM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 24 p. 1/15 EE 42/100 Lecture 24: Latches and Flip Flops ELECTRONICS Rev A 4/14/2010 (8:30 PM) Prof. Ali M. Niknejad University of California,

More information

Lecture 19: Design for Skew

Lecture 19: Design for Skew Introduction to CMOS VLSI Design Lecture 19: Design for Skew David Harris Harvey Mudd College Spring 2004 Outline Clock Distribution Clock Skew Skew-Tolerant Circuits Traditional Domino Circuits Skew-Tolerant

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 24 p. 1/21 EE 42/100 Lecture 24: Latches and Flip Flops ELECTRONICS Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad University of California,

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck Synchronous Mirror Delays ECG 721 Memory Circuit Design Kevin Buck 11/25/2015 Introduction A synchronous mirror delay (SMD) is a type of clock generation circuit Unlike DLLs and PLLs an SMD is an open

More information

Phil Lehwalder ECE526 Summer 2011 Dr. Chiang

Phil Lehwalder ECE526 Summer 2011 Dr. Chiang Phil Lehwalder ECE526 Summer 2011 Dr. Chiang PLL (Phase Lock Loop) Dynamic system that produces a clock in response to the frequency and phase of an input clock by varying frequency of an internal oscillator.

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

Low-overhead solutions for clock generation and synchronization.

Low-overhead solutions for clock generation and synchronization. Low-overhead solutions for clock generation and synchronization. Monday, March 10/ 2003 A presentation in the series on ULSI Configurable Systems. Gord Allan PhD Candidate Carleton University Outline Presentation

More information

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available Timing Analysis Lecture 9 ECE 156A-B 1 General Timing analysis can be done right after synthesis But it can only be accurately done when layout is available Timing analysis at an early stage is not accurate

More information

DLL Based Frequency Multiplier

DLL Based Frequency Multiplier DLL Based Frequency Multiplier Final Project Report VLSI Chip Design Project Project Group 4 Version 1.0 Status Reviewed Approved Ameya Bhide Ameya Bhide TSEK06 VLSI Design Project 1 of 29 Group 4 PROJECT

More information

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute. " From state elements

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute.  From state elements ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: April 2, 2019 Sequential Logic, Timing Hazards and Dynamic Logic Lecture Outline! Sequential Logic! Timing Hazards! Dynamic Logic 4 Sequential

More information

CHAPTER 4 GALS ARCHITECTURE

CHAPTER 4 GALS ARCHITECTURE 64 CHAPTER 4 GALS ARCHITECTURE The aim of this chapter is to implement an application on GALS architecture. The synchronous and asynchronous implementations are compared in FFT design. The power consumption

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 16: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project descriptions are posted on the website Preliminary

More information

EE434 ASIC & Digital Systems

EE434 ASIC & Digital Systems EE434 ASIC & Digital Systems Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Lecture 4 More on CMOS Gates Ref: Textbook chapter

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Clock Networks and Phase Lock Loops on Altera Cyclone V Devices Dr. D. J. Jackson Lecture 9-1 Global Clock Network & Phase-Locked Loops Clock management is important within digital

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers Muhammad Nummer and Manoj Sachdev University of Waterloo, Ontario, Canada mnummer@vlsi.uwaterloo.ca, msachdev@ece.uwaterloo.ca

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN620: Network Theory Broadband Circuit Design Fall 2012 Lecture 20: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 2 is on Friday Nov. 9 One double-sided 8.5x11

More information

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters Jefferson A. Hora, Vincent Alan Heramiz,

More information

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies Oct. 31, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy

More information

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection Somnath Kundu 1, Bongjin Kim 1,2, Chris H. Kim 1 1

More information

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control Sooho Cha, Chunseok Jeong, and Changsik Yoo A phase-locked loop (PLL) is described which is operable from 0.4 GHz to 1.2

More information

EECS 141: SPRING 98 FINAL

EECS 141: SPRING 98 FINAL University of California College of Engineering Department of Electrical Engineering and Computer Science J. M. Rabaey 511 Cory Hall TuTh3:3-5pm e141@eecs EECS 141: SPRING 98 FINAL For all problems, you

More information

Lecture 15: Transmitter and Receiver Design

Lecture 15: Transmitter and Receiver Design Lecture 15: Transmitter and Receiver Design Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2000 by Mark Horowitz EE371 Lecture 15-1 Horowitz Outline System Architectures

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers Michael H. Perrott March 19, 2004 Copyright 2004 by Michael H. Perrott All rights reserved. 1 High Speed Frequency

More information

Circuit Design for a 2.2 GByte/s Memory Interface

Circuit Design for a 2.2 GByte/s Memory Interface Circuit Design for a 2.2 GByte/s Memory Interface Stefanos Sidiropoulos Work done at Rambus Inc with A. Abhyankar, C. Chen, K. Chang, TJ Chin, N. Hays, J. Kim, Y. Li, G. Tsang, A. Wong, D. Stark Increasing

More information

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI Assistant Professor, E Mail: manoj.jvwu@gmail.com Department of Electronics and Communication Engineering Baldev Ram Mirdha Institute

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology. " Gate choice, logical optimization. " Fanin, fanout, Serial vs.

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology.  Gate choice, logical optimization.  Fanin, fanout, Serial vs. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Design Space Exploration Lec 18: March 28, 2017 Design Space Exploration, Synchronous MOS Logic, Timing Hazards 3 Design Problem Problem Solvable!

More information

Maximum data rate: 50 MBaud Data rate range: ±15% Lock-in time: 1 bit

Maximum data rate: 50 MBaud Data rate range: ±15% Lock-in time: 1 bit MONOLITHIC MANCHESTER ENCODER/DECODER (SERIES 3D7503) FEATURES 3D7503 data 3 delay devices, inc. PACKAGES All-silicon, low-power CMOS technology CIN 1 14 Encoder and decoder function independently Encoder

More information

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics ECE 484 VLSI Digital Circuits Fall 2016 Lecture 02: Design Metrics Dr. George L. Engel Adapted from slides provided by Mary Jane Irwin (PSU) [Adapted from Rabaey s Digital Integrated Circuits, 2002, J.

More information

High Speed Clock Distribution Design Techniques for CDC 509/516/2509/2510/2516

High Speed Clock Distribution Design Techniques for CDC 509/516/2509/2510/2516 High Speed Clock Distribution Design Techniques for CDC 509/516/2509/2510/2516 APPLICATION REPORT: SLMA003A Boyd Barrie Bus Solutions Mixed Signals DSP Solutions September 1998 IMPORTANT NOTICE Texas Instruments

More information

IN the design of the fine comparator for a CMOS two-step flash A/D converter, the main design issues are offset cancelation

IN the design of the fine comparator for a CMOS two-step flash A/D converter, the main design issues are offset cancelation JOURNAL OF STELLAR EE315 CIRCUITS 1 A 60-MHz 150-µV Fully-Differential Comparator Erik P. Anderson and Jonathan S. Daniels (Invited Paper) Abstract The overall performance of two-step flash A/D converters

More information

ENGIN 112 Intro to Electrical and Computer Engineering

ENGIN 112 Intro to Electrical and Computer Engineering ENGIN 112 Intro to Electrical and Computer Engineering Lecture 28 Timing Analysis Overview Circuits do not respond instantaneously to input changes Predictable delay in transferring inputs to outputs Propagation

More information

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 138 CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 6.1 INTRODUCTION The Clock generator is a circuit that produces the timing or the clock signal for the operation in sequential circuits. The circuit

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer Mohit Arora The Art of Hardware Architecture Design Methods and Techniques for Digital Circuits Springer Contents 1 The World of Metastability 1 1.1 Introduction 1 1.2 Theory of Metastability 1 1.3 Metastability

More information

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector Group Members Uttam Kumar Boda Rajesh Tenukuntla Mohammad M Iftakhar Srikanth Yanamanagandla 1 Table

More information

VCXO Basics David Green & Anthony Scalpi

VCXO Basics David Green & Anthony Scalpi VCXO Basics David Green & Anthony Scalpi Overview VCXO, or Voltage Controlled Crystal Oscillators are wonderful devices they function in feedback systems to pull the crystal operating frequency to meet

More information

! Review: Sequential MOS Logic. " SR Latch. " D-Latch. ! Timing Hazards. ! Dynamic Logic. " Domino Logic. ! Charge Sharing Setup.

! Review: Sequential MOS Logic.  SR Latch.  D-Latch. ! Timing Hazards. ! Dynamic Logic.  Domino Logic. ! Charge Sharing Setup. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 29, 206 Timing Hazards and Dynamic Logic Lecture Outline! Review: Sequential MOS Logic " SR " D-! Timing Hazards! Dynamic Logic "

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme Young-Chan Jang a) School of Electronic Engineering, Kumoh National Institute of Technology, 1, Yangho-dong,

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

1/19/2012. Timing in Asynchronous Circuits

1/19/2012. Timing in Asynchronous Circuits Timing in Asynchronous Circuits 1 What do we mean by clock? The system clock for an integrated circuit is a voltage signal that pulses at a regular frequency. 1 0 Time The clock tells each stage of a circuit

More information

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency Jamie E. Reinhold December 15, 2011 Abstract The design, simulation and layout of a UMAINE ECE Morse code Read Only Memory and transmitter

More information

Dynamic Logic. Domino logic P-E logic NORA logic 2-phase logic Multiple O/P domino logic Cascode logic 11/28/2012 1

Dynamic Logic. Domino logic P-E logic NORA logic 2-phase logic Multiple O/P domino logic Cascode logic 11/28/2012 1 Dynamic Logic Dynamic Circuits will be introduced and their performance in terms of power, area, delay, energy and AT 2 will be reviewed. We will review the following logic families: Domino logic P-E logic

More information

Geared Oscillator Project Final Design Review. Nick Edwards Richard Wright

Geared Oscillator Project Final Design Review. Nick Edwards Richard Wright Geared Oscillator Project Final Design Review Nick Edwards Richard Wright This paper outlines the implementation and results of a variable-rate oscillating clock supply. The circuit is designed using a

More information

Current Mode Interconnect

Current Mode Interconnect Department Of Electrical Engineering Indian Institute Of Technology, Bombay March 21, 2009 Inductive peaking: Concept Inductive Peaking for Bandwith Enhancement On-chip interconnects can be modeled as

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 010 Lecture 7: PLL Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report

More information

Lecture 3. FIR Design and Decision Feedback Equalization

Lecture 3. FIR Design and Decision Feedback Equalization Lecture 3 FIR Design and Decision Feedback Equalization Mark Horowitz Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2007 by Mark Horowitz, with material from Stefanos

More information

Lecture 3. FIR Design and Decision Feedback Equalization

Lecture 3. FIR Design and Decision Feedback Equalization Lecture 3 FIR Design and Decision Feedback Equalization Mark Horowitz Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2007 by Mark Horowitz, with material from Stefanos

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 4.2.1: Learn More Liang Liu liang.liu@eit.lth.se 1 Outline Crossing clock domain Reset, synchronous or asynchronous? 2 Why two DFFs? 3 Crossing clock

More information

Delay-based clock generator with edge transmission and reset

Delay-based clock generator with edge transmission and reset LETTER IEICE Electronics Express, Vol.11, No.15, 1 8 Delay-based clock generator with edge transmission and reset Hyunsun Mo and Daejeong Kim a) Department of Electronics Engineering, Graduate School,

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 CPE/EE 427, CPE 527 VLSI Design I L02: Design Metrics Department of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic ( www.ece.uah.edu/~milenka ) www.ece.uah.edu/~milenka/cpe527-03f

More information

A Bottom-Up Approach to on-chip Signal Integrity

A Bottom-Up Approach to on-chip Signal Integrity A Bottom-Up Approach to on-chip Signal Integrity Andrea Acquaviva, and Alessandro Bogliolo Information Science and Technology Institute (STI) University of Urbino 6029 Urbino, Italy acquaviva@sti.uniurb.it

More information

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies Mar 12, 2013 John Wawrzynek Spring 2013 EECS150 - Lec15-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies Feb 14, 2012 John Wawrzynek Spring 2012 EECS150 - Lec09-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 010 Lecture 3: CDR Wrap-Up Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam is April 30 Will emphasize

More information

An Area-efficient DLL based on a Merged Synchronous Mirror Delay Structure for Duty Cycle Correction

An Area-efficient DLL based on a Merged Synchronous Mirror Delay Structure for Duty Cycle Correction Proceedings of the 6th WSEAS Int. Conf. on Electronics, Hardware, Wireless and Optical Communications, Corfu Island, Greece, February 16-19, 2007 203 An Area-efficient DLL based on a Merged Synchronous

More information

f o Fig ECE 6440 Frequency Synthesizers P.E. Allen Frequency Magnitude Spectral impurity Frequency Fig010-03

f o Fig ECE 6440 Frequency Synthesizers P.E. Allen Frequency Magnitude Spectral impurity Frequency Fig010-03 Lecture 010 Introduction to Synthesizers (5/5/03) Page 010-1 LECTURE 010 INTRODUCTION TO FREQUENCY SYNTHESIZERS (References: [1,5,9,10]) What is a Synthesizer? A frequency synthesizer is the means by which

More information

R Using the Virtex Delay-Locked Loop

R Using the Virtex Delay-Locked Loop Application Note: Virtex Series XAPP132 (v2.4) December 20, 2001 Summary The Virtex FPGA series offers up to eight fully digital dedicated on-chip Delay-Locked Loop (DLL) circuits providing zero propagation

More information

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray Agenda Problems of On-chip Global Signaling Channel Design Considerations

More information

LSI and Circuit Technologies of the SX-9

LSI and Circuit Technologies of the SX-9 TANAHASHI Toshio, TSUCHIDA Junichi, MATSUZAWA Hajime NIWA Kenji, SATOH Tatsuo, KATAGIRI Masaru Abstract This paper outlines the LSI and circuit technologies of the SX-9 as well as their inspection technologies.

More information

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs Thomas Olsson, Peter Nilsson, and Mats Torkelson. Dept of Applied Electronics, Lund University. P.O. Box 118, SE-22100,

More information

Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing

Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing Nestoras Tzartzanis and Bill Athas nestoras@isiedu, athas@isiedu http://wwwisiedu/acmos Information Sciences Institute

More information

CMOS Digital Integrated Circuits Analysis and Design

CMOS Digital Integrated Circuits Analysis and Design CMOS Digital Integrated Circuits Analysis and Design Chapter 8 Sequential MOS Logic Circuits 1 Introduction Combinational logic circuit Lack the capability of storing any previous events Non-regenerative

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Dedication. To Mum and Dad

Dedication. To Mum and Dad Dedication To Mum and Dad Acknowledgment Table of Contents List of Tables List of Figures A B A B 0 1 B A List of Abbreviations Abstract Chapter1 1 Introduction 1.1. Motivation Figure 1. 1 The relative

More information

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits Lec Sequential CMOS Logic Circuits Sequential Logic In Combinational Logic circuit Out Memory Sequential The output is determined by Current inputs Previous inputs Output = f(in, Previous In) The regenerative

More information

ECE520 VLSI Design. Lecture 11: Combinational Static Logic. Prof. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 11: Combinational Static Logic. Prof. Payman Zarkesh-Ha EE520 VLSI esign Lecture 11: ombinational Static Logic Prof. Payman Zarkesh-Ha Office: EE ldg. 230 Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 eview of Last

More information

Energy-Recovery CMOS Design

Energy-Recovery CMOS Design Energy-Recovery CMOS Design Jay Moon, Bill Athas * Univ of Southern California * Apple Computer, Inc. jsmoon@usc.edu / athas@apple.com March 05, 2001 UCLA EE215B jsmoon@usc.edu / athas@apple.com 1 Outline

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

This chapter discusses the design issues related to the CDR architectures. The

This chapter discusses the design issues related to the CDR architectures. The Chapter 2 Clock and Data Recovery Architectures 2.1 Principle of Operation This chapter discusses the design issues related to the CDR architectures. The bang-bang CDR architectures have recently found

More information

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Outline

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Outline EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces Lecture #7 Components Termination, Transmitters & Receivers Jared Zerbe 2/10/04 Outline General issues Termination

More information

Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication

Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication Marco Storto and Roberto Saletti Dipartimento di Ingegneria della Informazione: Elettronica, Informatica,

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 12: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report #2 due Apr. 20 Expand

More information

A DPLL-based per Core Variable Frequency Clock Generator for an Eight-Core POWER7 Microprocessor

A DPLL-based per Core Variable Frequency Clock Generator for an Eight-Core POWER7 Microprocessor A DPLL-based per Core Variable Frequency Clock Generator for an Eight-Core POWER7 Microprocessor José Tierno 1, A. Rylyakov 1, D. Friedman 1, A. Chen 2, A. Ciesla 2, T. Diemoz 2, G. English 2, D. Hui 2,

More information

Lecture 10. Circuit Pitfalls

Lecture 10. Circuit Pitfalls Lecture 10 Circuit Pitfalls Intel Corporation jstinson@stanford.edu 1 Overview Reading Lev Signal and Power Network Integrity Chandrakasen Chapter 7 (Logic Families) and Chapter 8 (Dynamic logic) Gronowski

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

Enhancing FPGA-based Systems with Programmable Oscillators

Enhancing FPGA-based Systems with Programmable Oscillators Enhancing FPGA-based Systems with Programmable Oscillators Jehangir Parvereshi, jparvereshi@sitime.com Sassan Tabatabaei, stabatabaei@sitime.com SiTime Corporation www.sitime.com 990 Almanor Ave., Sunnyvale,

More information

Dual Programmable Clock Generator

Dual Programmable Clock Generator 1I CD20 51 fax id: 3512 Features Dual Programmable Clock Generator Functional Description Two independent clock outputs ranging from 320 khz to 100 MHz Individually programmable PLLs use 22-bit serial

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

HIGH-PERFORMANCE HYBRID WAVE-PIPELINE SCHEME AS IT APPLIES TO ADDER MICRO-ARCHITECTURES

HIGH-PERFORMANCE HYBRID WAVE-PIPELINE SCHEME AS IT APPLIES TO ADDER MICRO-ARCHITECTURES HIGH-PERFORMANCE HYBRID WAVE-PIPELINE SCHEME AS IT APPLIES TO ADDER MICRO-ARCHITECTURES By JAMES E. LEVY A thesis submitted in partial fulfillment of the requirements for the degree of MASTER OF SCIENCE

More information

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology UDC 621.3.049.771.14:621.396.949 A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology VAtsushi Tsuchiya VTetsuyoshi Shiota VShoichiro Kawashima (Manuscript received December 8, 1999) A 0.9

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 6: RX Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 4 Prelab due now Exam

More information

A Flying-Adder Architecture of Frequency and Phase Synthesis With Scalability

A Flying-Adder Architecture of Frequency and Phase Synthesis With Scalability IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 5, OCTOBER 2002 637 A Flying-Adder Architecture of Frequency and Phase Synthesis With Scalability Liming Xiu, Member, IEEE,

More information