A Bottom-Up Approach to on-chip Signal Integrity

Size: px
Start display at page:

Download "A Bottom-Up Approach to on-chip Signal Integrity"

Transcription

1 A Bottom-Up Approach to on-chip Signal Integrity Andrea Acquaviva, and Alessandro Bogliolo Information Science and Technology Institute (STI) University of Urbino 6029 Urbino, Italy Abstract. We present a new approach to accurately evaluate signal integrity in digital integrated circuits while working at the logic level. Our approach makes use of fitting models to represent the key properties of drivers, interconnects and receivers and the effects of all noise sources (supply noise, timing uncertainty, crosstalk). Such models are then combined to evaluate the correctness of each bit sent across the line. The overall result is a parameterized bit-level model of a noisy on-chip communication channel. The model can be used at the logic level to evaluate the transmission-error probability for an arbitrary bit stream, sent at an arbitrary bit rate, under arbitrary noise source assumptions. Introduction Signal integrity is a primary concern for designers of deep sub micron integrated circuits []. Shrinking technologies and reducing noise margins expose digital circuits to the effects of several noise sources (voltage drops causing common-mode and differential supply noise, cross-talk, inter-symbol interference, clock skew and jitter) that may lead to logical errors. The integrity of digital signals is particularly critical on long interconnects, because of: the large distance between driver and receiver (possibly leading to different effective supply voltages and misaligned clock signals), the large parasitic parameters of the line (causing a signal degradation along the line), the large coupling capacitance (responsible of cross-talk) [2],[3]. Traditional techniques for dealing with noise sources make use of conservative noise margins that have two main limitations: first, they lead to over-conservative designs, second, they are not directly related to bit-level error probabilities. On the other hand, long interconnects are a bottleneck for high-performance lowpower integrated circuits, since they are the main responsible of propagation delay and power consumption. Hence, on-chip interconnects need to be pushed to their limits. In this context, accurate and efficient models of communication channels and noise sources are required to enable a thorough design space exploration. In this paper we propose a parameterized black-box model of noisy on-chip interconnects that enables accurate signal integrity estimation at the logic level. In particular: i) we use noise sensitive areas (NSAs) to represent the noise margins that should be respected at the inputs of the receiver in order to guarantee the correct sampling of the received bit stream [4]; ii) we model the effect of noise sources on the NSA; iii) we

2 Figure. a) Reference signaling scheme. b) Circuit-level model of noise sources. use the average signal slope at the far end of the line to represent the performance of the driver for a given line; iv) we model the effect of noise sources on the maximum signal slope; v) we combine noisy signal slopes and parametric NSA to obtain bit error probabilities. The rest of the paper is organized as follows. In Section 2 we provide the overall picture of the proposed approach. In Section 3 we introduce parameterized NSA and we describe the characterization approach. In Section 4 we characterize the maximum signal slope at the receiver. In Section 5 we combine signal slopes and NSAs to obtain logic-level error probabilities from noise source distributions, for given bit rates and bit streams. In Section 6 we validate the approach by comparing logic-level and electrical-level simulation results and we exemplify the application of the proposed approach. 2 The Proposed Approach We refer to the voltage-mode signaling scheme shown in Figure.a. The interconnect is driven by a transmitter (e.g., a CMOS buffer) that takes the input stream from a local flip flop. The receiver is composed of an amplifier (e.g., a CMOS buffer) and a flip flop that samples the received bit stream. Although transmitter and receiver have the same nominal clock signal and supply voltage, the actual signals may be different at the transmitter and receiver because of noise. That s why we use subscripts t and r to denote signals belonging to the transmitter and to the receiver, respectively.

3 Figure 2. a) Family of noise sensitive areas (NSAs) on the signal-time plane. b) representation of the entire family by means of two triggering curves and a holding point. Dashed arrows represent the effects of differential supply noise at the re- In principle, signal integrity can be evaluated by splitting the signaling scheme at any point into a driving part and a driven part. We chose as a splitting point the far end of the line (i.e., the input of the receiver, denoted by FE in Figure.a). The driving half of the scheme is composed of the transmitter and of the interconnect, while the driven half of the scheme is composed of the receiver and of the output flip flop. The driving subcircuit provides a signal waveform (hereafter called Vin), while the driven subcircuit imposes necessary conditions to the shape of Vin in order to guarantee correct sampling of the received bit. Signal integrity may be evaluated in terms of error probability per bit by comparing the signal provided by the driving subcircuit with the requirements imposed by the driven subcircuit. If the requirements are strictly necessary, any violation gives rise to a logic error. We represent receiver s requirements by means of noise sensitive areas (NSAs) [4] and driver s performance in terms of signal slope S. Noise sources may affect both NSA and S. The advantage of choosing the far end of the line as a splitting point is two-fold: the driving and driven subcircuits are affected by disjoint noise sources, and most noise sources can be implicitly taken into account when combining NSA with signal slopes, as discussed in Section 5. We construct and characterize black-box parameterized models of both NSA and S taking into account all noise sources. In particular, the size and shape of the NSA is automatically determined based on differential supply noise at the receiver, while signal slope is parameterized in terms of differential supply noise at the transmitter. All other noise sources (common-mode supply noise, clock skew and jitter, crosstalk) affect the relative position of the NSA and of the signal edge of slope S. Hence, they can be implicitly accounted for when combining signal slope and NSA.

4 3 Parameterized Noise-Sensitive Areas For a given implementation of the receiver, the input waveform should meet specific requirements in order to guarantee correct sampling of the received bit. Such requirements can be characterized by means of electrical simulations and represented as a region in the signal-time plane that is forbidden to the signal waveform. If the signal waveform crosses the forbidden region, the wrong symbol is sampled by the output flip flop, leading to a bit-level error. Noise sensitive areas (NSAs) provide an informative representation of the forbidden region [4]. In general, the NSA depends both on the nature of the receiver and on the effect of noise sources. We call inherent NSA the forbidden region obtained without taking noise sources into account. In presence of noise, the actual NSA becomes larger than the inherent one. The only noise source that may affect the shape of the NSA is differential supply noise at the receiver. Common-mode supply noise, clock skew and jitter cause only vertical and horizontal shifts of the NSA on the signal-time graph. Consider a receiver consisting of a CMOS inverter followed by a master-slave edgetriggered D flip-flop. Figure 2.a shows a schematic representation of a family of inherent NSAs for the receiver. The inherent NSAs are not unique since the larger the swing of the input signal the lower the transition time of the receiver. Hence, we can obtain a continuous of NSAs by changing the swing of the input signal. Each NSA has a triangular shape. The left-most vertices represent triggering conditions, while the right-most point represents holding conditions determined by the logic threshold and performance of the input inverter. While holding conditions can be represented by a single point common to all NSAs of the family, triggering conditions must be represented by curves in the voltage-time plane, as shown in Figure 2.b. In practice, the family of inherent NSAs for our case-study receiver is represented by 3 elements: two curves representing positive and negative triggering conditions and a point representing the holding condition. For correct sampling, at least one of the NSAs must be respected. In other words, a logic error may occur only if the signal waveform enters all the NSAs associated with the receiver. In particular, if either triggering or holding conditions are not met, at next clock edge the flip flop maintains its current state, determined by the last received bit rather than by the current one. Hence, the actual bit-level error probability also depends on the input stream. This is an important feature of the proposed approach, since it allows us to evaluate the effects of bit-level encodings on signal integrity. Since differential supply noise affects the performance of the receiver, different NSA families will be obtained for each noise level. Positive (negative) differential supply noise increases (decreases) the effective supply voltage, thus improving (reducing) the performance of the receiver without changing its logic threshold. This causes the curves representing triggering conditions to shrink and the point representing holding conditions to shift as represented in Figure 2.b by means of dashed arrows.

5 To provide a simple and practical parametric model of the NSA we use quadratic fitting models to approximate the triggering curves obtained for a fixed value of differential supply noise. Then we construct and characterize a fitting model for each coefficient of the quadratic curve, representing noise dependence. The overall parametric NSA is completely described by the following equations, where V denotes the differential supply noise at the receiver. V V trig0 trig ( t,v ( t,v ) = () 2 c (V ) + c (V )t + c (V )t 0, 0, 0 0,, 0, 2 ) = (2) 2 c (V ) + c (V )t + c (V )t, 2 ( i, j ) ( i, j ) i { 0, } + a Vn j { 0,, 2} ci, j (Vn ) = a0 (3) V = (4) hold V LT t (V ) = b + b V (5) hold 0 For a given receiver, 4 fitting coefficients (namely, a h (i,j) and b h for h,i in {0,} and j in {0,,2}) need to be determined. This is done by means of least square fitting against the results of Spice simulations. 4 Parameterized Signal Slope In voltage-mode signaling across a long interconnect, the rising and falling edges of the signal waveform at the far end of the line are well approximated by linear ramps with constant slope, since the input of the transmitter changes much faster than its output, so that most of the output transition is sustained by a constant input signal. The signal slope S at the far end of the line tells us how fast the signal can switch from properly recognized voltage levels, so that it can be combined with the NSA of the receiver in order to estimate the maximum achievable bit rate []. In general, S depends on the driver and on the line and it can be easily characterized by means of transient Spice simulations of the given circuit. If the driver has a symmetric characteristic, rising and falling edges have the same slope. Since the current drawn by the MOS transistor depends on the supply voltage, S is affected by the differential supply noise at the transmitter. To model such dependence we use the following linear model (where V n2 represents the differential supply noise at the transmitter): S (Vn2 ) = d 0 + dv n2 (6) requiring the characterization of 2 fitting parameters.

6 c C A 2 0 B e d S NSA b a 0 Nominal sampling time at the receiver,2 Nominal transition times at the transmitter A Nominal communication latency B Nominal symbol time C Initial voltage level at the input of the receiver a common-mode supply noise at the receiver b clock skew and jitter at the c receiver common-mode supply noise at the transmitter + crosstalk d,e clock skew and jitter at the transmitter Fig. 3. Schematic representation of the noise sensitive area (NSA), of the signal slope (S) and of the effects of all noise sources. This timing diagram would lead to the correct sampling of symbol. 5 Bit-Level Error Probability Figure 3 shows how to combine NSA and S to evaluate the integrity of a received bit taking into account all possible noise sources. With respect to Figure 3, we assume the current bit (namely, b[n]) to be transmitted in the time interval between time instants and 2, and to be sampled at the receiver at time instant 0. Depending on the length of the line, on the propagation delay of the receiver and on the bit rate, the nominal sampling instant at the receiver may fall outside the symbol time of the transmitted bit (as in the example of Figure 3). The distance between time instants and 0 is the latency of the communication channel, while the distance between time and 2 is the symbol time. The shape of the NSA and the slope of Vin are provided by their pre-characterized models, parameterized in terms of differential supply noise at the receiver and transmitter. All other noise sources induce vertical and horizontal shifts that are represented in Figure 3. While NSA is directly provided by the model, the local behavior of Vin has to be constructed based on the model of slope S. Moreover, if the sampling time of b[n] falls outside the corresponding symbol time at the transmitter, than the waveform of Vin has to be constructed for both symbols b[n] and b[n+] to evaluate the correctness of the n-th received symbol. For instance, when b[n]= and b[n+]=0, as in the case of Figure 3, the waveform of Vin can be expressed as follows: Vin () t V = V V [ n ] + V [ n] + V [ n] + S[ n]( t t ) max max S cmsn _ t [ n + ]( t t ) ct n t n T max t t t < T t < t max (7)

7 where: t = t n t V max 0 = t0 T = V T latency cmsn _ t latency + T + V + T ct noise _ t symbol + min [ n] + Tnoise _ t [ n + ] { V [ n ] + S[ n]( t tn ),Vdd} V [ n ] Vdd Tmax = min t n +,t S[] n In the above equations, V[n-] is the value of Vin at the end of the symbol time n-, T noise_t [n] and T noise_t [n+] are the overall effects of clock skew and jitter at the transmitter on transition times n and n+. S[n] and S[n+] are the slopes provided by the model for symbols n and n+, according to independent random values of the differential supply noise at the transmitter. If Vin is above the upper triggering curve of NSA for at least a time instant, and it is above the holding point, then the received bit is b_r[n]=. If Vin is below the lower triggering curve of NSA for at least a time instant, and it is below the holding point, then the received bit is b_r[n]=0. In all other cases b_r[n]=b_r[n-]. Notice that the comparison between NSAs and signal waveforms can be performed numerically by leveraging the convexity of the triggering curves and the linearity of the signal edge. The pseudo-code of an algorithm that determines the value of received bit b_r[n] is shown in Figure 4. (8) 6 Experimental results To test the effectiveness and accuracy of the proposed technique we implemented the algorithm of Figure 4 in C and we characterized the NSA and signal slope for voltage-level receiver and transmitter implemented in 0.8µm technology with 2V power supply. For the interconnect we used a five-stage RC model with R=50Ω and C=60fF at each stage. All voltage noise sources were assumed to be independent and uniformly distributed between -0.2V and +0.2V. Similarly, clock jitters at transmitter and receiver were modeled as independent random variable uniformly distributed between - 00ps and +00ps. To validate the model and the error-estimation approach we simulated the transmission of the same bit stream using both our C model and Spice. Random values of all noise sources were generated off-line and coherently injected in both simulations. In particular, arrays of noise values (representing the value of each noise source for all bits in the stream) were directly provided to our C model and used as outlined in the previous section. Noise injection in Spice was implemented by means of the modified circuit of Figure.b: all voltage noise sources were implemented as independent voltage sources, while clock jitter and skew were implemented by providing noisy clock signals. The waveforms of both clock signals and voltage noise generators were specified as piece-wise linear functions (PWL) automatically generated by

8 V[0]=Vinitial b[0]=binitial generate Vdmsn_t[], Tnoise_t[] for each transmitted bit b[n] n>0 generate Vdmsn_r[n] construct NSA(Vdmsn_r[n],t0) generate Vcmsn_r generate Tskew_r, Tjitter_r NSA(t) = NSA(t-Tskew_r-Tjitter_r)+Vcmsn_r generate Vdmsn_t[n+] compute S[n] based on Vdmsn_t[n],b[n-],b[n] compute S[n+] based on Vdmsn_t[n+],b[n],b[n+] generate Vcmsn_t[n], Vct[n] generate Tnoise_t[n+] tn = -Tlatency+Tnoise_t[n] t = -Tlatency+Tsymbol+Tnoise_t[n+] construct Vin based on V[n-],S[n],S[n+],tn,t if Vin is above NSA b_r[n] = else if Vin is below NSA b_r[n] = 0 else b_r[n] = b[n-] end if V[n] = Vin(t) end for Figure 4. Algorithm for determining the value of each received bit. means of a C routine taking in input an array of noise values per symbol and providing the corresponding PWL description. The results provided by our model were always coherent with Spice simulations. On the other hand, our model provided a speedup of more than three orders of magnitude if compared to Spice simulations. Comparison was made using the same time resolution for Spice and for our approach. To exemplify the application of the proposed approach, we used the model for determining the achievable bit rate of a given channel. We assumed the same noise conditions described above, and we simulated the propagation of a bit stream of 0000 symbols randomly generated according to a given signal probability p. For each value of p, different bit rates were simulated, assuming channel latency equal to the symbol time (this is a common assumption in many synchronous signal schemes). Figure 5 reports the estimated error probability as a function of the bit rate, for different values of p. For a symbol time of 400ps, providing error probability above 20% with signal probability 0.5, further experiments were performed by varying the channel latency. Interestingly, some of the errors were eliminated by using a latency longer than the symbol time. In particular, the error probability reduced from 2% to 5% for a channel latency of 450ps. Finally, we remark that the error probabilities of Figure 5 depend on the signal probability. In other words, they depend on the bit stream. In fact, the error probability is maximum when p=0.5, because of the larger number of signal transitions. Notice that, for symbol times below 200ps, the line never switches. Hence, the error probability is equal to the probability of the incoming bit to be different from the

9 initialization value of the line (0). The dependence of the error probability on the bit Figure 5. Error probability for different signal probabilities, as a function of (a) the symbol time, and (b) the latency of the channel. stream demonstrates the sensitivity of the proposed approach to bit-level signal statistics, making it suitable for exploring bit-level encodings and their effects on signal integrity. 7 Conclusions In this paper we have proposed a parameterized model of on-chip communication channels that provides a logic-level representation of the main effects of noise sources on the signal integrity of digital signals. The model is implementationspecific and needs to be pre-characterized for each transmitter and receiver by means of least-square fitting on electrical-level simulation results. We have developed an algorithm that exploits the parameterized models to evaluate the effects of all noise sources on the error probability of a bit stream sent across the channel. We validated the approach by comparing estimated error probabilities with the results of electrical-level simulations. Our approach provided bit-by-bit 00% accuracy whit a speed up of three orders of magnitude with respect to Spice simulations. Finally, we exemplified the application of the proposed technique to evaluate the maximum-bit-rate of a given communication channel and the effects of signal statistics (i.e., of bit-level encodings) on the error probability.

10 References. Caignet F., Delmas-Bandia, S., Sicard, E.: The challenge of Signal Integrity in deepsubmicrometer CMOS technology. In Proceedings of the IEEE, vol. 89, no. 4, (200) 2. Dally, W.J., Poulton, J.W.: Digital Systems Engineering. Cambridge University Press (998). 3. Hall, S.H., Hall, G.W., McCall, J.A.: High-Speed Digital System Design, A Handbook of Interconnect Theory and Design Practices. John Wiley & Sons (2000) 4. Bogliolo, A., Olivo, P.: Dealing with noise margins in high-speed voltage-mode signaling. In Proc. of IEEE Workshop on Signal Propagation on Interconnects (2002).

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits Lec Sequential CMOS Logic Circuits Sequential Logic In Combinational Logic circuit Out Memory Sequential The output is determined by Current inputs Previous inputs Output = f(in, Previous In) The regenerative

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

Pulse propagation for the detection of small delay defects

Pulse propagation for the detection of small delay defects Pulse propagation for the detection of small delay defects M. Favalli DI - Univ. of Ferrara C. Metra DEIS - Univ. of Bologna Abstract This paper addresses the problems related to resistive opens and bridging

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

if the conductance is set to zero, the equation can be written as following t 2 (4)

if the conductance is set to zero, the equation can be written as following t 2 (4) 1 ECEN 720 High-Speed Links: Circuits and Systems Lab1 - Transmission Lines Objective To learn about transmission lines and time-domain reflectometer (TDR). Introduction Wires are used to transmit clocks

More information

To learn fundamentals of high speed I/O link equalization techniques.

To learn fundamentals of high speed I/O link equalization techniques. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab5 Equalization Circuits Objective To learn fundamentals of high speed I/O link equalization techniques. Introduction An ideal cable could propagate

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

Gate Delay Estimation in STA under Dynamic Power Supply Noise

Gate Delay Estimation in STA under Dynamic Power Supply Noise Gate Delay Estimation in STA under Dynamic Power Supply Noise Takaaki Okumura *, Fumihiro Minami *, Kenji Shimazaki *, Kimihiko Kuwada *, Masanori Hashimoto ** * Development Depatment-, Semiconductor Technology

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

Using Signaling Rate and Transfer Rate

Using Signaling Rate and Transfer Rate Application Report SLLA098A - February 2005 Using Signaling Rate and Transfer Rate Kevin Gingerich Advanced-Analog Products/High-Performance Linear ABSTRACT This document defines data signaling rate and

More information

DDR4 memory interface: Solving PCB design challenges

DDR4 memory interface: Solving PCB design challenges DDR4 memory interface: Solving PCB design challenges Chang Fei Yee - July 23, 2014 Introduction DDR SDRAM technology has reached its 4th generation. The DDR4 SDRAM interface achieves a maximum data rate

More information

Design of low-power, high performance flip-flops

Design of low-power, high performance flip-flops Int. Journal of Applied Sciences and Engineering Research, Vol. 3, Issue 4, 2014 www.ijaser.com 2014 by the authors Licensee IJASER- Under Creative Commons License 3.0 editorial@ijaser.com Research article

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

Switching (AC) Characteristics of MOS Inverters. Prof. MacDonald

Switching (AC) Characteristics of MOS Inverters. Prof. MacDonald Switching (AC) Characteristics of MOS Inverters Prof. MacDonald 1 MOS Inverters l Performance is inversely proportional to delay l Delay is time to raise (lower) voltage at nodes node voltage is changed

More information

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 6 Combinational CMOS Circuit and Logic Design Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Advanced Reliable Systems (ARES) Lab. Jin-Fu Li,

More information

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs Thomas Olsson, Peter Nilsson, and Mats Torkelson. Dept of Applied Electronics, Lund University. P.O. Box 118, SE-22100,

More information

A 2-byte Parallel 1.25 Gb/s Interconnect I/O Interface with Self-configurable Link and Plesiochronous Clocking

A 2-byte Parallel 1.25 Gb/s Interconnect I/O Interface with Self-configurable Link and Plesiochronous Clocking UDC 621.3.049.771.14:681.3.01 A 2-byte Parallel 1.25 Gb/s Interconnect I/O Interface with Self-configurable Link and Plesiochronous Clocking VKohtaroh Gotoh VHideki Takauchi VHirotaka Tamura (Manuscript

More information

TITLE. Capturing (LP)DDR4 Interface PSIJ and RJ Performance. Image. Topic: Topic: John Ellis, Synopsys, Inc. Topic: malesuada blandit euismod.

TITLE. Capturing (LP)DDR4 Interface PSIJ and RJ Performance. Image. Topic: Topic: John Ellis, Synopsys, Inc. Topic: malesuada blandit euismod. TITLE Topic: o Nam elementum commodo mattis. Pellentesque Capturing (LP)DDR4 Interface PSIJ and RJ Performance malesuada blandit euismod. Topic: John Ellis, Synopsys, Inc. o o Nam elementum commodo mattis.

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray Agenda Problems of On-chip Global Signaling Channel Design Considerations

More information

A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR

A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR Janusz A. Starzyk and Ying-Wei Jan Electrical Engineering and Computer Science, Ohio University, Athens Ohio, 45701 A designated contact person Prof.

More information

High Performance Signaling. Jan Rabaey

High Performance Signaling. Jan Rabaey High Performance Signaling Jan Rabaey Sources: Introduction to Digital Systems Engineering, Bill Dally, Cambridge Press, 1998. Circuits, Interconnections and Packaging for VLSI, H. Bakoglu, Addison-Wesley,

More information

Performance of a Resistance-To-Voltage Read Circuit for Sensing Magnetic Tunnel Junctions

Performance of a Resistance-To-Voltage Read Circuit for Sensing Magnetic Tunnel Junctions Performance of a Resistance-To-Voltage Read Circuit for Sensing Magnetic Tunnel Junctions Michael J. Hall Viktor Gruev Roger D. Chamberlain Michael J. Hall, Viktor Gruev, and Roger D. Chamberlain, Performance

More information

Applying Analog Techniques in Digital CMOS Buffers to Improve Speed and Noise Immunity

Applying Analog Techniques in Digital CMOS Buffers to Improve Speed and Noise Immunity C Analog Integrated Circuits and Signal Processing, 27, 275 279, 2001 2001 Kluwer Academic Publishers. Manufactured in The Netherlands. Applying Analog Techniques in Digital CMOS Buffers to Improve Speed

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

CMOS Digital Integrated Circuits Analysis and Design

CMOS Digital Integrated Circuits Analysis and Design CMOS Digital Integrated Circuits Analysis and Design Chapter 8 Sequential MOS Logic Circuits 1 Introduction Combinational logic circuit Lack the capability of storing any previous events Non-regenerative

More information

Jitter in Digital Communication Systems, Part 2

Jitter in Digital Communication Systems, Part 2 Application Note: HFAN-4.0.4 Rev.; 04/08 Jitter in Digital Communication Systems, Part AVAILABLE Jitter in Digital Communication Systems, Part Introduction A previous application note on jitter, HFAN-4.0.3

More information

EECS 141: FALL 98 FINAL

EECS 141: FALL 98 FINAL University of California College of Engineering Department of Electrical Engineering and Computer Science J. M. Rabaey 511 Cory Hall TuTh9:30-11am ee141@eecs EECS 141: FALL 98 FINAL For all problems, you

More information

12 BIT ACCUMULATOR FOR DDS

12 BIT ACCUMULATOR FOR DDS 12 BIT ACCUMULATOR FOR DDS ECE547 Final Report Aravind Reghu Spring, 2006 1 CONTENTS 1 Introduction 6 1.1 Project Overview 6 1.1.1 How it Works 6 1.2 Objective 8 2 Circuit Design 9 2.1 Design Objective

More information

Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses

Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses Srinivasa R. Sridhara, Arshad Ahmed, and Naresh R. Shanbhag Coordinated Science Laboratory/ECE Department University of Illinois at

More information

Measurement of Laddering Wave in Lossy Serpentine Delay Line

Measurement of Laddering Wave in Lossy Serpentine Delay Line International Journal of Applied Science and Engineering 2006.4, 3: 291-295 Measurement of Laddering Wave in Lossy Serpentine Delay Line Fang-Lin Chao * Department of industrial Design, Chaoyang University

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 9: Noise Sources Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 5 Report and Prelab 6 due Apr. 3 Stateye

More information

Experiment 1: Amplifier Characterization Spring 2019

Experiment 1: Amplifier Characterization Spring 2019 Experiment 1: Amplifier Characterization Spring 2019 Objective: The objective of this experiment is to develop methods for characterizing key properties of operational amplifiers Note: We will be using

More information

Effect of Aging on Power Integrity of Digital Integrated Circuits

Effect of Aging on Power Integrity of Digital Integrated Circuits Effect of Aging on Power Integrity of Digital Integrated Circuits A. Boyer, S. Ben Dhia Alexandre.boyer@laas.fr Sonia.bendhia@laas.fr 1 May 14 th, 2013 Introduction and context Long time operation Harsh

More information

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency Jamie E. Reinhold December 15, 2011 Abstract The design, simulation and layout of a UMAINE ECE Morse code Read Only Memory and transmitter

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

Optical Interconnection and Clocking for Electronic Chips

Optical Interconnection and Clocking for Electronic Chips 1 Optical Interconnection and Clocking for Electronic Chips Aparna Bhatnagar and David A. B. Miller Department of Electrical Engineering Stanford University, Stanford CA 9430 ABSTRACT As the speed of electronic

More information

Design of 1.8V, 72MS/s 12 Bit Pipeline ADC in 0.18µm Technology

Design of 1.8V, 72MS/s 12 Bit Pipeline ADC in 0.18µm Technology Design of 1.8V, 72MS/s 12 Bit Pipeline ADC in 0.18µm Technology Ravi Kumar 1, Seema Kanathe 2 ¹PG Scholar, Department of Electronics and Communication, Suresh GyanVihar University, Jaipur, India ²Assistant

More information

Lecture 11 Circuits numériques (I) L'inverseur

Lecture 11 Circuits numériques (I) L'inverseur Lecture 11 Circuits numériques (I) L'inverseur Outline Introduction to digital circuits The inverter NMOS inverter with resistor pull-up 6.12 Spring 24 Lecture 11 1 1. Introduction to digital circuits:

More information

DesignCon Design of a Low-Power Differential Repeater Using Low Voltage and Charge Recycling. Brock J. LaMeres, University of Colorado

DesignCon Design of a Low-Power Differential Repeater Using Low Voltage and Charge Recycling. Brock J. LaMeres, University of Colorado DesignCon 2005 Design of a Low-Power Differential Repeater Using Low Voltage and Charge Recycling Brock J. LaMeres, University of Colorado Sunil P. Khatri, Texas A&M University Abstract Advances in System-on-Chip

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

Output Waveform Evaluation of Basic Pass Transistor Structure*

Output Waveform Evaluation of Basic Pass Transistor Structure* Output Waveform Evaluation of Basic Pass Transistor Structure* S. Nikolaidis, H. Pournara, and A. Chatzigeorgiou Department of Physics, Aristotle University of Thessaloniki Department of Applied Informatics,

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available Timing Analysis Lecture 9 ECE 156A-B 1 General Timing analysis can be done right after synthesis But it can only be accurately done when layout is available Timing analysis at an early stage is not accurate

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems A Design Methodology The Challenges of High Speed Digital Clock Design In high speed applications, the faster the signal moves through

More information

Dynamic Threshold for Advanced CMOS Logic

Dynamic Threshold for Advanced CMOS Logic AN-680 Fairchild Semiconductor Application Note February 1990 Revised June 2001 Dynamic Threshold for Advanced CMOS Logic Introduction Most users of digital logic are quite familiar with the threshold

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

An Asynchronous Ternary Logic Signaling System

An Asynchronous Ternary Logic Signaling System 1114 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 11, NO. 6, DECEMBER 2003 An Asynchronous Ternary Logic Signaling System Tomaz Felicijan and Steve B. Furber, Senior Member, IEEE

More information

Sensing Voltage Transients Using Built-in Voltage Sensor

Sensing Voltage Transients Using Built-in Voltage Sensor Sensing Voltage Transients Using Built-in Voltage Sensor ABSTRACT Voltage transient is a kind of voltage fluctuation caused by circuit inductance. If strong enough, voltage transients can cause system

More information

Accurate In Situ Measurement of Peak Noise and Delay Change Induced by Interconnect Coupling

Accurate In Situ Measurement of Peak Noise and Delay Change Induced by Interconnect Coupling IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 10, OCTOBER 2001 1587 Accurate In Situ Measurement of Peak Noise and Delay Change Induced by Interconnect Coupling Takashi Sato, Member, IEEE, Dennis

More information

Low Cost NBTI Degradation Detection & Masking Approaches

Low Cost NBTI Degradation Detection & Masking Approaches IEEE TRANSACTIONS ON COMPUTERS, MANUSCRIPT ID 1 Low Cost NBTI Degradation Detection & Masking Approaches Martin Omaña, Daniele Rossi, Nicolò Bosio, Cecilia Metra Abstract Performance degradation of integrated

More information

Lecture 11 Digital Circuits (I) THE INVERTER

Lecture 11 Digital Circuits (I) THE INVERTER Lecture 11 Digital Circuits (I) THE INVERTER Outline Introduction to digital circuits The inverter NMOS inverter with resistor pull-up Reading Assignment: Howe and Sodini; Chapter 5, Sections 5.1-5.3 6.12

More information

Chapter 13: Comparators

Chapter 13: Comparators Chapter 13: Comparators So far, we have used op amps in their normal, linear mode, where they follow the op amp Golden Rules (no input current to either input, no voltage difference between the inputs).

More information

ABSTRACT. As data frequency increases beyond several Gbps range, low power chip to chip

ABSTRACT. As data frequency increases beyond several Gbps range, low power chip to chip ABSTRACT SHAH, CHINTAN HEMENDRA. Inductively Coupled Interconnect for Chip to Chip Communication over Transmission Line. (Under the direction of Dr. Paul Franzon). As data frequency increases beyond several

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R R 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

On-Chip Signaling Techniques for High-Speed SerDes Transceivers

On-Chip Signaling Techniques for High-Speed SerDes Transceivers The American University in Cairo School of Science and Engineering On-Chip Signaling Techniques for High-Speed SerDes Transceivers A Thesis submitted to The Department of Electronics Engineering In Partial

More information

Parallel vs. Serial Inter-plane communication using TSVs

Parallel vs. Serial Inter-plane communication using TSVs Parallel vs. Serial Inter-plane communication using TSVs Somayyeh Rahimian Omam, Yusuf Leblebici and Giovanni De Micheli EPFL Lausanne, Switzerland Abstract 3-D integration is a promising prospect for

More information

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits Objectives In this lecture you will learn the following Ratioed Logic Pass Transistor Logic Dynamic Logic Circuits

More information

Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters

Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters Abstract In this paper, we present a complete design methodology for high-performance low-power Analog-to-Digital

More information

Current Mode Interconnect

Current Mode Interconnect Department Of Electrical Engineering Indian Institute Of Technology, Bombay March 21, 2009 Inductive peaking: Concept Inductive Peaking for Bandwith Enhancement On-chip interconnects can be modeled as

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

IT has been extensively pointed out that with shrinking

IT has been extensively pointed out that with shrinking IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 18, NO. 5, MAY 1999 557 A Modeling Technique for CMOS Gates Alexander Chatzigeorgiou, Student Member, IEEE, Spiridon

More information

ICCAD 2014 Contest Incremental Timing-driven Placement: Timing Modeling and File Formats v1.1 April 14 th, 2014

ICCAD 2014 Contest Incremental Timing-driven Placement: Timing Modeling and File Formats v1.1 April 14 th, 2014 ICCAD 2014 Contest Incremental Timing-driven Placement: Timing Modeling and File Formats v1.1 April 14 th, 2014 http://cad contest.ee.ncu.edu.tw/cad-contest-at-iccad2014/problem b/ 1 Introduction This

More information

Channel operating margin for PAM4 CDAUI-8 chip-to-chip interfaces

Channel operating margin for PAM4 CDAUI-8 chip-to-chip interfaces Channel operating margin for PAM4 CDAUI-8 chip-to-chip interfaces Adam Healey Avago Technologies IEEE P802.3bs 400 GbE Task Force March 2015 Introduction Channel Operating Margin (COM) is a figure of merit

More information

Jitter in Digital Communication Systems, Part 1

Jitter in Digital Communication Systems, Part 1 Application Note: HFAN-4.0.3 Rev.; 04/08 Jitter in Digital Communication Systems, Part [Some parts of this application note first appeared in Electronic Engineering Times on August 27, 200, Issue 8.] AVAILABLE

More information

EECS 141: SPRING 98 FINAL

EECS 141: SPRING 98 FINAL University of California College of Engineering Department of Electrical Engineering and Computer Science J. M. Rabaey 511 Cory Hall TuTh3:3-5pm e141@eecs EECS 141: SPRING 98 FINAL For all problems, you

More information

Low Cost NBTI Degradation Detection and Masking Approaches Omana, M., Rossi, D., Bosio, N. and Metra, C.

Low Cost NBTI Degradation Detection and Masking Approaches Omana, M., Rossi, D., Bosio, N. and Metra, C. WestminsterResearch http://www.westminster.ac.uk/westminsterresearch Low Cost NBTI Degradation Detection and Masking Approaches Omana, M., Rossi, D., Bosio, N. and Metra, C. This is a copy of the author

More information

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Lecture 16 Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Outline Complementary metal oxide semiconductor (CMOS) Inverting circuit Properties Operating points Propagation delay Power dissipation

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Combinational vs. Sequential Logic In Combinational Logic Circuit Out In Combinational Logic Circuit

More information

IBIS Data for CML,PECL and LVDS Interface Circuits

IBIS Data for CML,PECL and LVDS Interface Circuits Application Note: HFAN-06.2 Rev.1; 04/08 IBIS Data for CML,PECL and LVDS Interface Circuits AVAILABLE IBIS Data for CML,PECL and LVDS Interface Circuits 1 Introduction The integrated circuits found in

More information

Accurate and Efficient Macromodel of Submicron Digital Standard Cells

Accurate and Efficient Macromodel of Submicron Digital Standard Cells Accurate and Efficient Macromodel of Submicron Digital Standard Cells Cristiano Forzan, Bruno Franzini and Carlo Guardiani SGS-THOMSON Microelectronics, via C. Olivetti, 2, 241 Agrate Brianza (MI), ITALY

More information

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver 3.1 INTRODUCTION As last chapter description, we know that there is a nonlinearity relationship between luminance

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

DESIGNING powerful and versatile computing systems is

DESIGNING powerful and versatile computing systems is 560 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 15, NO. 5, MAY 2007 Variation-Aware Adaptive Voltage Scaling System Mohamed Elgebaly, Member, IEEE, and Manoj Sachdev, Senior

More information

8-Bit, high-speed, µp-compatible A/D converter with track/hold function ADC0820

8-Bit, high-speed, µp-compatible A/D converter with track/hold function ADC0820 8-Bit, high-speed, µp-compatible A/D converter with DESCRIPTION By using a half-flash conversion technique, the 8-bit CMOS A/D offers a 1.5µs conversion time while dissipating a maximum 75mW of power.

More information

To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence.

To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab2- Channel Models Objective To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence. Introduction

More information

DIGITAL CIRCUITS AND SYSTEMS ASSIGNMENTS 1 SOLUTIONS

DIGITAL CIRCUITS AND SYSTEMS ASSIGNMENTS 1 SOLUTIONS DIGITAL CIRCUITS AND SYSTEMS ASSIGNMENTS 1 SOLUTIONS 1. Analog signal varies continuously between two amplitudes over the given interval of time. Between these limits of amplitude and time, the signal

More information

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Abstract: Double-edged pulse width modulation (DPWM) is less sensitive to frequency-dependent losses in electrical

More information

5. CMOS Gates: DC and Transient Behavior

5. CMOS Gates: DC and Transient Behavior 5. CMOS Gates: DC and Transient Behavior Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 September 18, 2017 ECE Department, University

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

Low-power 2.5 Gbps VCSEL driver in 0.5 µm CMOS technology

Low-power 2.5 Gbps VCSEL driver in 0.5 µm CMOS technology Low-power 2.5 Gbps VCSEL driver in 0.5 µm CMOS technology Bindu Madhavan and A. F. J. Levi Department of Electrical Engineering University of Southern California Los Angeles, California 90089-1111 Indexing

More information

HIGH-performance microprocessors employ advanced circuit

HIGH-performance microprocessors employ advanced circuit IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 18, NO. 5, MAY 1999 645 Timing Verification of Sequential Dynamic Circuits David Van Campenhout, Student Member, IEEE,

More information

ECE 6770 FINAL PROJECT

ECE 6770 FINAL PROJECT ECE 6770 FINAL PROJECT POINT TO POINT COMMUNICATION SYSTEM Submitted By: Omkar Iyer (Omkar_iyer82@yahoo.com) Vamsi K. Mudarapu (m_vamsi_krishna@yahoo.com) MOTIVATION Often in the real world we have situations

More information

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code:

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code: Global Journal of researches in engineering Electrical and electronics engineering Volume 12 Issue 3 Version 1.0 March 2012 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global

More information

Logic Families. Describes Process used to implement devices Input and output structure of the device. Four general categories.

Logic Families. Describes Process used to implement devices Input and output structure of the device. Four general categories. Logic Families Characterizing Digital ICs Digital ICs characterized several ways Circuit Complexity Gives measure of number of transistors or gates Within single package Four general categories SSI - Small

More information

SCHMITT TRIGGER. Typical ``real world'' signals consist of a superposition of a ``noise'' signal and a

SCHMITT TRIGGER. Typical ``real world'' signals consist of a superposition of a ``noise'' signal and a SCHMITT TRIGGER Typical ``real world'' signals consist of a superposition of a ``noise'' signal and a signal or signals of interest. For example, the signal at the bottom of Figure 19 shows a superposition

More information

To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed I/O link circuits

To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed I/O link circuits 1 ECEN 720 High-Speed Links: Circuits and Systems Lab6 Link Modeling with ADS Objective To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed

More information

Digital Systems Power, Speed and Packages II CMPE 650

Digital Systems Power, Speed and Packages II CMPE 650 Speed VLSI focuses on propagation delay, in contrast to digital systems design which focuses on switching time: A B A B rise time propagation delay Faster switching times introduce problems independent

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

An Analog CMOS Double-Edge Multi-Phase Low- Latency Pulse Width Modulator

An Analog CMOS Double-Edge Multi-Phase Low- Latency Pulse Width Modulator An Analog CMOS Double-Edge Multi-Phase Low- Latency Pulse Width Modulator Jianhui Zhang Seth R. Sanders University of California, Berkeley Berkeley, CA 94720 USA zhangjh, sanders@eecs.berkeley.edu Abstract-This

More information