THE DEMANDS of a high-bandwidth dynamic random access

Size: px
Start display at page:

Download "THE DEMANDS of a high-bandwidth dynamic random access"

Transcription

1 422 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 7, JULY 2011 Clock- and Data-Recovery Circuit With Independently Controlled Eye-Tracking Loop for High-Speed Graphic DRAMs Jun-Yong Song, Student Member, IEEE, and Oh-Kyong Kwon, Member, IEEE Abstract An independently controlled eye-tracking clockand data-recovery (CDR) circuit that achieves enhanced highfrequency jitter tolerance is presented in this brief. In the proposed CDR, a data-tracking loop compensates interchannel timing skews and rejects low-frequency jitter of the data, and an eye-tracking loop tracks asymmetric jitter distribution and high-frequency jitter of the data to enhance high-frequency jitter tolerance. This can be achieved by independently controlling two loops in the digital domain. The CDR is implemented using an 0.18-μm CMOS process, and a bit error rate of less than was achieved for a data rate up to 5.8 Gb/s using a pseudorandom binarysequence input. Index Terms Bang-bang phase detector (PD), clock and data recovery (CDR), complementary metal oxide semiconductor (CMOS), dynamic random access memory (DRAM), eye tracking, jitter tolerance. I. INTRODUCTION THE DEMANDS of a high-bandwidth dynamic random access memory (DRAM) for graphic memory have recently increased for 3-D graphics to process large amounts of multimedia data [1]. Source-synchronous multichannel links such as DRAM input/output have large interchannel timing skews and poor receiver timing margins [2]. In addition, as the data rate between the memory controller and the memory module reaches several gigabits per second, the received data suffer from intersymbol interference and reflection noise, and the total jitter of the transmitted data has asymmetric distribution [3]. Consequently, it is difficult to achieve low bit error rate (BER) for a delay-locked loop (DLL)-based DRAM receiver because a sampling clock is simply 90 shifted by using DLL at the receiver. A clock- and data-recovery (CDR) circuit can compensate interchannel timing skews and track the jitter distribution of the received data. Conventional CDRs have a single loop for datatracking operation and can have high BER with asymmetric jitter distribution of the received data. The CDR, with variableinterval oversampling, can measure the data eye width and can achieve low BER with asymmetric jitter distribution [3]. Reference [3] has a reference loop, tracking loop, and eye- Manuscript received July 22, 2010; revised November 24, 2010 and January 31, 2011; accepted April 4, Date of publication July 5, 2011; date of current version July 20, This work was supported in part by Hynix Semiconductor Inc. and the IC Design Education Center. This work was recommended by Associate Editor H.-J. Yoo. The authors are with the Department of Electronics and Computer Engineering, Hanyang University, Seoul , Korea ( okwon@hanyang. ac.kr). Digital Object Identifier /TCSII Fig. 1. CDR architecture with DTL and ETL for multichannel link. measuring loop. Because the reference loop and the tracking loop share the voltage-controlled oscillator (VCO), it is difficult to adapt to a multichannel link. The tracking loop and eyemeasuring loop are tightly coupled, and the eye-measuring loop has a relatively low jitter tracking bandwidth to acquire stable operation. This brief presents a CDR with an independently controlled eye-tracking loop (ETL) for high-speed graphic DRAM. It adopts a shared phase-locked loop (PLL) for multichannel DRAM architecture [4] and two loops for phase tracking and eye tracking. Two loops are independently controlled in the digital domain to independently optimize the bandwidth of each loop in a small area. Section II describes the overall architecture of the CDR and each loop. In Section III, the CDR building blocks for phase detection and eye tracking are presented. The macromodeling result of the CDR is presented in Section IV. The experiment results of the CDR are presented in Section V, followed by the conclusion in Section VI. II. CDR ARCHITECTURE Fig. 1 shows the block diagram of the proposed CDR. The CDR operates at a rate of 1/4 to reduce the operation speed of the digital logic and maximize the data rate at the DRAM process. The PLL multiplies the frequency and reduces the jitter of the reference clock. The PLL synthesizes the four-phase 1/4-rate clocks (CLK 0 3 ) from the reference clock (REF _CLK). A data-tracking loop (DTL) compensates skews between data and sampling clocks at each channel and tracks low-frequency jitter of the data. The DTL aligns edge-sampling clocks (ϕ 0 3 ) to the averaged transition positions of the 4-bit data by controlling the phase of CLK /$ IEEE

2 SONG AND KWON: CDR CIRCUIT WITH INDEPENDENTLY CONTROLLED ETL FOR HIGH-SPEED GRAPHIC DRAM 423 Fig. 4. Phase diagram of the DTL. Fig. 2. Block diagram of the PLL. Fig. 3. Block diagram of the DTL. Fig. 5. Block diagram of the ETL. An ETL takes over ϕ 0 3 from the DTL, which has the phase information of the data. The ETL generates two eye-monitoring clocks and one data-sampling clock per data by phase interpolating ϕ i and ϕ i+1. The phase of the data-sampling clock is designed to be the center of the data eye where the lowest BER position is. The bandwidth of the ETL is designed to be higher than that of the DTL to track high-frequency jitter of the received data by separating a control loop of the ETL from the DTL and controlling two eye-monitoring clocks, independently. A. PLL The shared PLL provides two equally spaced 1/4-rate differential clocks (CLK 0 3 ) from REF _CLK, as shown in Fig. 2. Differential delay cells of the VCO are implemented using symmetric loads [5] for high-supply-noise rejection characteristic and compatibility with the DRAM process. The frequency of REF _CLK is 1/8 rate of the data, and CLK 0 3 is 1/4 rate of the data. The phase difference between CLK i and CLK i+1 is a data unit interval (UI). CLK 0 3 is distributed to the CDR with current mode logic buffers. B. DTL Fig. 3 shows the block diagram of the DTL. The DTL adopts a digitally controlled phase-tracking loop with a 1/4-rate twice-oversampling bang-bang phase detector (PD) and a digital phase rotator (PR) controller. ϕ 0 3 is aligned to the averaged transition position of the data by adjusting a phase of CLK 0 3 using the PR and is sent to the ETL. PIs generate two kinds of sampling clocks (E 0 3 and D 0 3 ) for bang-bang phase detection. D i is generated by phase interpolating ϕ i and ϕ i+1 with the same weight, and E i is generated by buffering ϕ i using a PI to match the skews between D i and E i [6]. The phase relationship is shown in Fig. 4. The phase difference between ϕ i and ϕ i+1 is 90 of 1/4-rate clock, and the phase difference between E i and D i is 45 of 1/4-rate clock. The DTL PD adopts a bang-bang phase detection to align E i to edges of the data. A majority vote circuit determines a state of UP_DN0 per one clock cycle by comparing the number of HIGHs in UP[0 : 3] with that in DN[0 : 3]. A DTL digital loop filter accumulates UP_DN0 at an update frequency to filter out the high-frequency jitter of the received data. The accumulated result generates UP_DN1 to control the phase of ϕ 0 3 using a PR controller. The PR controller determines the phase of ϕ 0 3 with a 30-bit thermometer code TW[0 : 29].The PR controller is implemented with a bidirectional shift register and an area-optimized 5-bit binary-to-thermometer decoder to increase linearity of the PR. C. ETL The ETL adopts a triple-oversampling eye-monitoring scheme [3] with two digitally controlled eye-monitoring clocks (L 0 3 and R 0 3 ) and a data-sampling clock (C 0 3 ). The ETL generates L i, R i, and C i by phase interpolating ϕ i and ϕ i+1, which has the phase information of the data. The ETL also independently controls L i, R i, and C i to track high-frequency jitter of the data using an ETL PD, a digital loop filter, a PI controller, and PIs as shown in Fig. 5. Fig. 6(a) shows a phase diagram of the ETL when the data eye opening leans to the right side of the UI, and Fig. 6(b) shows phases of clocks with PDF jit, where PDF jit denotes the probability density function (PDF) of jitter. In the locked state, L i and R i are positioned to the edges of the data eye, and C i is positioned to the center of the data eye, which is the lowest BER position. Consequently, the data sampled with C i is recovered data (RE_D[i]), and C 0

3 424 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 7, JULY 2011 Fig. 8. Schematic diagram of the 5-bit binary-to-thermometer decoder. Fig. 6. (a) Phase diagram of the ETL and (b) phases of clocks with PDF jit. Fig. 7. (a) Schematic diagram of the DTL PD and (b) schematic diagram of the ETL PD. is the recovered clock (RE_CLK). Additionally, the data eye width can be measured with the digital control signals of L i and R i. The ETL PD generates DN_UP_L0 and UP_DN_R0 to independently control the phase of L i and R i to align them to the edges of the data eye. The ETL digital loop filter updates DN_UP_L1 and UP_DN_R1 by sampling DN_UP_L0 and UP_DN_R0 at an update frequency. The update frequency of the ETL is higher than that of the DTL to track highfrequency jitter of the data. Because there is no feedback from the ETL to the DTL, the bandwidth of the each loop can be independently optimized. An ETL PI controller generates two 15-bit thermometer codes TWL[0 : 14] to control the phases of L i, R i, and C i using each PI. III. CDR BUILDING BLOCKS A. PD The DTL PD is composed of samplers [7] for data sampling, a retimer to align the sampled data in-phase, and XOR gates for bang-bang phase detection, as shown in Fig. 7(a). The states of UP[i] and DN[i] is determined by a phase of E i and the data UI. The ETL PD adopts a triple-oversampling eye-monitoring scheme [3], as shown in Fig. 7(b). If there is any transition Fig. 9. (a) Schematic diagram of the PI and (b) the PICS. between L i and C i, it means that L i is led to the left edge of the data eye, and DN_UP_L0 becomes HIGH to delay L i.inthe same case for R i and C i, R i is lagged to the right edge of the data eye, and UP_DN_L0 becomes LOW to advance R i. B. 5-bit Binary-to-Thermometer Decoder The 5-bit binary-to-thermometer decoder is used to generate a thermometer code from the binary 5-bit PR control code W [0 : 4] at the PR controller of the DTL. The 5-bit binaryto-thermometer decoder is implemented with a 4-bit binary-tothermometer decoder and MUXs to optimize a resolution and the area of the PR controller, as shown in Fig. 8. The most significant bit of the 5-bit PR control code is used as a MUX selection signal. When W [4] is HIGH, TW[0 : 14] is all HIGH, and TW[15 : 29] is determined by W [0 : 3]. Accordingly, the 5-bit binary-to-thermometer decoder has 31 steps. C. PI The PIs have two roles in the proposed CDR. First, the PIs generate multiphase clocks to oversample the received data. Second, the PIs control phases of the sampling clocks. Because the inputs of the PI (VID0 and VID1) are differential signals, which are generated from the symmetric-load-based VCO, the PIs are also implemented with symmetric loads for constant input and output voltage swing, as shown in Fig. 9(a). A PI current source (PICS) is composed of 60 PI control units (PICUs), and controlled with two 30-bit thermometer code

4 SONG AND KWON: CDR CIRCUIT WITH INDEPENDENTLY CONTROLLED ETL FOR HIGH-SPEED GRAPHIC DRAM 425 Fig. 10. Phase ranges of L i, C i,andr i. Fig. 12. Macromodeling results with an input data of 0.66-UI peak-to-peak jitter. (a) Control signal for ϕ i. (b) Control signal for L i. (c) Control signal for R i. Fig. 11. Floor plan of the six ETL PICSs with control signals. signals (TW[0 : 29] and TWb[0 : 29]). The PICU consists of a switch for digital control signal (TW[n] or TWb[n]) and a current source with bias voltage of VBN. Because PICUs are connected in parallel between a common source node (CS0 or CS1) and VSS, two adjacent PICUs are sharing CSi or VSSto minimize the area, as shown in Fig. 9(b). According to simulation results, the integral nonlinearity of the PI is ±0.25 LSB due to process variations. D. ETL PI Controller The ETL PI controller generates 15-bit thermometer code signals (TWL[0 : 14] and TWR[0 : 14]) to control the phases of L i and R i. The phase control signal for C i (TWC[0 : 14]) is composed of TWL[2m] and TWR[2m +1], where m is from 0 to 7, to position C i to the center of L i and R i, and to minimize the area. The phase ranges of L i, R i, and C i are limited to half of the UI, as shown in Fig. 10, to simplify the PI controller logic by assuming that the left or right edge of the data eye does not exceed the center of the UI. Consequently, the ETL PI has 5-bit resolution and 4-bit phase control range. Fig. 11 depicts a floor plan of the six ETL PICSs with control signals. There are two PICSs for each L i, R i, and C i.to minimize process variation by pattern density, dummy PICSs are placed on both sides of the six PICSs. To limit the phase range of L i to left side and R i to right side of the UI, fixed control signals in the left and right PICSs are connected to HIGH and LOW, respectively, as shown in Fig. 11. Center PICSs have eight fixed control signals with HIGH and seven fixed control signals with LOW. At the initial state, in order to position L i, R i, and C i at the center of ϕ i and ϕ i+1, TWL[0 : 14] and TWR[0 : 14] are reset to HIGH and LOW, respectively. IV. MACROMODELING RESULTS OF CDR We verified the tracking characteristics of the proposed eyetracking method and designed the circuit using a macromodel of the channel and the circuit. The transition probability and jitter characteristic of the data is modeled using pseudorandom binary-sequence (PRBS) input, white noise, and the PCB channel. The channel length was varied to change the magnitude of jitter. The length of observation for data eye opening is determined by the bandwidth of the ETL. Fig. 12 shows macromodeling results of the CDR when the input data has 0.66UI peak-to-peak jitter, and the reference clock is led to the input data. The bandwidth of the ETL is set to four times faster than that of the DTL. The weights of the control signals for ϕ i, L i, and R i are presented in Fig. 12; high weight means lead phase. The DTL positions the phase of ϕ i to the edge of the received data, and at the same time, the ETL positions the phases of L i and R i to the edges of the data eye. During the locking state, L i approaches the left edge of the data eye, and R i is fast delayed toward the right edge of the data eye due to the high bandwidth of the ETL. After the DTL is locked to the data UI, L i and R i are fast tracking the jitter of the data, whereas C i is located at the center of the data eye for low BER. V. E XPERIMENT RESULTS OF CDR The CDR circuit was fabricated in 0.18-μm standard CMOS technology. The microphotograph of the chip is shown in Fig. 13. The core of the CDR circuit is 0.90 mm 0.55 mm. It consumes a power of mw at 1.8-V supply voltage. The area and power consumption are not optimized for a large design margin. The chip has been tested with a chip-onboard assembly. With the 5.8-Gb/s PRBS data input, the peak-to-peak jitter of the received data is 25 ps by impedance mismatch, and the measured BER is less than The jitter histogram of the recovered clock is shown in Fig. 14, where the rms and

5 426 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 7, JULY 2011 TABLE I PERFORMANCE SUMMARY AND COMPARISONS Fig. 13. Chip microphotograph. Fig. 14. Recovered 1/4-rate 1.45-GHz clock. VI. CONCLUSION A CDR circuit with an independently controlled ETL for high-speed graphic DRAM has been presented. The ETL independently controls two eye-monitoring clocks to monitor the data eye and to track high-frequency jitter of the data. In addition, digital control enables simple eye-opening measurement. To achieve area efficiency, a modified 5-bit binaryto-thermometer decoder and PI controller has been presented. The CDR is implemented in 0.18-μm CMOS technology, the maximum data rate is 5.8 Gb/s, and the BER is less than with PRBS data. The CDR can be applicable as a highspeed graphic DRAM receiver with high jitter tolerance. ACKNOWLEDGMENT The authors would like to thank K.-S. Kwak, S.-J. Ahn, M.-S. Shin, E.-J. Kim, H.-R. Choi, and Y.-J. Kim for their useful discussion and feedback. REFERENCES Fig. 15. Eye diagram of the 1/4-rate 1.45-Gb/s recovered data. peak-to-peak jitter are 13.5 and ps, respectively. Fig. 15 shows the recovered parallel data at 1/4-rate 1.45 Gb/s. Maximum data rate and jitter tolerance of the CDR arelimited by the large peak-to-peak jitter of the sampling clock because the supply noise of digital buffers is transferred to the large jitter of the clock through low bandwidth of the PLL. The measured performance summary of the CDR and comparisons with other works are listed in Table I. [1] H. Lee, K.-Y. K. Chang, J.-H. Chun, T. Wu, Y. Frans, B. Leibowitz, N. Nguyen, T. J. Chin, K. Kaviani, J. Shen, X. Shi, W. T. Beyene, S. Li, R. Navid, M. Aleksic, F. S. Lee, F. Quan, J. Zerbe, R. Perego, and F. Assaderaghi, A 16 Gb/s/link, 64 GB/s bidirectional asymmetric memory interface, IEEE J. Solid-State Circuits, vol. 44, no. 4, pp , Apr [2] E. Yeung and M. A. Horowitz, A 2.4 Gb/s/pin simultaneous bidirectional parallel link with per-pin skew compensation, IEEE J. Solid-State Circuits, vol. 35, no. 11, pp , Nov [3] S.-H. Lee, M.-S. Hwang, Y. Choi, S. Kim, Y. Moon, B.-J. Lee, D.-K. Jeong, W. Kim, Y.-J. Park, and G. Ahn, A 5-Gb/s 0.25 μm CMOS jitter-tolerant variable-interval oversampling clock/data recovery circuit, IEEE J. Solid- State Circuits, vol. 37, no. 12, pp , Dec [4] Y.-S. Seo, J.-W. Lee, H.-J. Kim, C. Yoo, J.-J. Lee, and C.-S. Jeong, A 5-Gb/s clock- and data-recovery circuit with 1/8-rate linear phase detector in 0.18 μm CMOS technology, IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 56, no. 1, pp. 6 10, Jan [5] J. G. Maneatis, Low-jitter process-independent DLL and PLL based on self-biased techniques, IEEE J. Solid-State circuits, vol. 31, no. 11, pp , Nov [6] S. Sidiropoulos and M. A. Horowitz, Asemidigitaldualdelay-lockedloop, IEEE J. Solid-State Circuits, vol. 32, no. 11, pp , Nov [7] P. K. Hanumolu, G.-Y. Wei, and U.-K. Moon, A wide-tracking range clock and data recovery circuit, IEEE J. Solid-State Circuits, vol. 43, no. 2, pp , Feb [8] A. Agrawal, A. Liu, P. K. Hanumolu, and G.-Y. Wei, An 8 5Gb/s parallel receiver with collaborative timing recovery, IEEE J. Solid-State Circuit, vol. 44, no. 11, pp , Nov

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.287 ISSN(Online) 2233-4866 A 10-Gb/s Multiphase Clock and Data Recovery

More information

A Clock and Data Recovery Circuit With Programmable Multi-Level Phase Detector Characteristics and a Built-in Jitter Monitor

A Clock and Data Recovery Circuit With Programmable Multi-Level Phase Detector Characteristics and a Built-in Jitter Monitor 1472 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 62, NO. 6, JUNE 2015 A Clock and Data Recovery Circuit With Programmable Multi-Level Phase Detector Characteristics and a Built-in

More information

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters Jefferson A. Hora, Vincent Alan Heramiz,

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 12: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report #2 due Apr. 20 Expand

More information

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control Sooho Cha, Chunseok Jeong, and Changsik Yoo A phase-locked loop (PLL) is described which is operable from 0.4 GHz to 1.2

More information

PHASE-LOCKED loops (PLLs) are widely used in many

PHASE-LOCKED loops (PLLs) are widely used in many IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 3, MARCH 2011 149 Built-in Self-Calibration Circuit for Monotonic Digitally Controlled Oscillator Design in 65-nm CMOS Technology

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN620: Network Theory Broadband Circuit Design Fall 2012 Lecture 20: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 2 is on Friday Nov. 9 One double-sided 8.5x11

More information

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop Seong-Jin An 1 and Young-Shig Choi 2 Department of Electronic Engineering, Pukyong National University

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 16: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project descriptions are posted on the website Preliminary

More information

IN RECENT years, the phase-locked loop (PLL) has been a

IN RECENT years, the phase-locked loop (PLL) has been a 430 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 6, JUNE 2010 A Two-Cycle Lock-In Time ADPLL Design Based on a Frequency Estimation Algorithm Chia-Tsun Wu, Wen-Chung Shen,

More information

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.6, DECEMBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.6.825 ISSN(Online) 2233-4866 An All-digital Delay-locked Loop using

More information

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation 196 LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation Ching-Yuan YANG a), Member and Jung-Mao LIN, Nonmember SUMMARY In this letter, a 1.25-Gb/s 0.18-µm

More information

A High-Resolution Dual-Loop Digital DLL

A High-Resolution Dual-Loop Digital DLL JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.4, AUGUST, 216 ISSN(Print) 1598-1657 http://dx.doi.org/1.5573/jsts.216.16.4.52 ISSN(Online) 2233-4866 A High-Resolution Dual-Loop Digital DLL

More information

A fully digital clock and data recovery with fast frequency offset acquisition technique for MIPI LLI applications

A fully digital clock and data recovery with fast frequency offset acquisition technique for MIPI LLI applications LETTER IEICE Electronics Express, Vol.10, No.10, 1 7 A fully digital clock and data recovery with fast frequency offset acquisition technique for MIPI LLI applications June-Hee Lee 1, 2, Sang-Hoon Kim

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

More information

REDUCING power consumption and enhancing energy

REDUCING power consumption and enhancing energy 548 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 63, NO. 6, JUNE 2016 A Low-Voltage PLL With a Supply-Noise Compensated Feedforward Ring VCO Sung-Geun Kim, Jinsoo Rhim, Student Member,

More information

A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation

A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation 2518 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 59, NO. 11, NOVEMBER 2012 A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise

More information

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 LECTURE 160 CDR EXAMPLES INTRODUCTION Objective The objective of this presentation is: 1.) Show two examples of clock and data recovery

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

2284 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 10, OCTOBER /$ IEEE

2284 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 10, OCTOBER /$ IEEE 2284 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 10, OCTOBER 2008 A 622-Mb/s Mixed-Mode BPSK Demodulator Using a Half-Rate Bang-Bang Phase Detector Duho Kim, Student Member, IEEE, Kwang-chun Choi,

More information

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 8, AUGUST 2002 1021 A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle Hsiang-Hui Chang, Student Member, IEEE, Jyh-Woei Lin, Ching-Yuan

More information

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.4, DECEMBER, 2012 http://dx.doi.org/10.5573/jsts.2012.12.4.405 An 8-Gb/s Inductorless Adaptive Passive Equalizer in 0.18- µm CMOS Technology

More information

A 0.18µm CMOS Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link

A 0.18µm CMOS Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link 1 A 0.18µm CMOS 3.125-Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link Ki-Hyuk Lee, Jae-Wook Lee nonmembers and Woo-Young Choi regular member

More information

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3 ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3 4.3 A Second-Order Semi-Digital Clock Recovery Circuit Based on Injection Locking M.-J. Edward Lee 1, William J. Dally 1,2,

More information

Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications

Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications Duo Sheng 1a), Ching-Che Chung 2,andChen-YiLee 1 1 Department of Electronics Engineering & Institute of

More information

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI Assistant Professor, E Mail: manoj.jvwu@gmail.com Department of Electronics and Communication Engineering Baldev Ram Mirdha Institute

More information

6.976 High Speed Communication Circuits and Systems Lecture 21 MSK Modulation and Clock and Data Recovery Circuits

6.976 High Speed Communication Circuits and Systems Lecture 21 MSK Modulation and Clock and Data Recovery Circuits 6.976 High Speed Communication Circuits and Systems Lecture 21 MSK Modulation and Clock and Data Recovery Circuits Michael Perrott Massachusetts Institute of Technology Copyright 2003 by Michael H. Perrott

More information

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme Young-Chan Jang a) School of Electronic Engineering, Kumoh National Institute of Technology, 1, Yangho-dong,

More information

TIMING recovery (TR) is one of the most challenging receiver

TIMING recovery (TR) is one of the most challenging receiver IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 12, DECEMBER 2006 1393 A Baud-Rate Timing Recovery Scheme With a Dual-Function Analog Filter Faisal A. Musa, Student Member, IEEE,

More information

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, OL.13, NO.5, OCTOBER, 2013 http://dx.doi.org/10.5573/jsts.2013.13.5.459 A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier Geontae

More information

5Gbps Serial Link Transmitter with Pre-emphasis

5Gbps Serial Link Transmitter with Pre-emphasis Gbps Serial Link Transmitter with Pre-emphasis Chih-Hsien Lin, Chung-Hong Wang and Shyh-Jye Jou Department of Electrical Engineering,National Central University,Chung-Li, Taiwan R.O.C. Abstract- High-speed

More information

THE serial advanced technology attachment (SATA) is becoming

THE serial advanced technology attachment (SATA) is becoming IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 11, NOVEMBER 2007 979 A Low-Jitter Spread Spectrum Clock Generator Using FDMP Ding-Shiuan Shen and Shen-Iuan Liu, Senior Member,

More information

SERIALIZED data transmission systems are usually

SERIALIZED data transmission systems are usually 124 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 56, NO. 1, JANUARY 2009 A Tree-Topology Multiplexer for Multiphase Clock System Hungwen Lu, Chauchin Su, Member, IEEE, and Chien-Nan

More information

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology Ching-Che Chung 1a), Duo Sheng 2, and Sung-En Shen 1 1 Department of Computer Science & Information

More information

A Clock Regenerator using Two 2 nd Order Sigma-Delta Modulators for Wide Range of Dividing Ratio

A Clock Regenerator using Two 2 nd Order Sigma-Delta Modulators for Wide Range of Dividing Ratio http://dx.doi.org/10.5573/jsts.2012.12.1.10 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.1, MARCH, 2012 A Clock Regenerator using Two 2 nd Order Sigma-Delta Modulators for Wide Range of

More information

This chapter discusses the design issues related to the CDR architectures. The

This chapter discusses the design issues related to the CDR architectures. The Chapter 2 Clock and Data Recovery Architectures 2.1 Principle of Operation This chapter discusses the design issues related to the CDR architectures. The bang-bang CDR architectures have recently found

More information

Circuit Design for a 2.2 GByte/s Memory Interface

Circuit Design for a 2.2 GByte/s Memory Interface Circuit Design for a 2.2 GByte/s Memory Interface Stefanos Sidiropoulos Work done at Rambus Inc with A. Abhyankar, C. Chen, K. Chang, TJ Chin, N. Hays, J. Kim, Y. Li, G. Tsang, A. Wong, D. Stark Increasing

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

Lecture 15: Clock Recovery

Lecture 15: Clock Recovery Lecture 15: Clock Recovery Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2001 by Mark Horowitz 1 Overview Reading Chapter 19 - High Speed Link Design, by Ken Yang, Stefanos

More information

Dedication. To Mum and Dad

Dedication. To Mum and Dad Dedication To Mum and Dad Acknowledgment Table of Contents List of Tables List of Figures A B A B 0 1 B A List of Abbreviations Abstract Chapter1 1 Introduction 1.1. Motivation Figure 1. 1 The relative

More information

CLOCK AND DATA RECOVERY (CDR) circuits incorporating

CLOCK AND DATA RECOVERY (CDR) circuits incorporating IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1571 Brief Papers Analysis and Modeling of Bang-Bang Clock and Data Recovery Circuits Jri Lee, Member, IEEE, Kenneth S. Kundert, and

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR Yang-Shyung Shyu * and Jiin-Chuan Wu Dept. of Electronics Engineering, National Chiao-Tung University 1001 Ta-Hsueh Road, Hsin-Chu, 300, Taiwan * E-mail:

More information

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 3, MARCH

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 3, MARCH IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 3, MARCH 2012 143 A Time-to-Digital Converter Based on a Multiphase Reference Clock and a Binary Counter With a Novel Sampling

More information

SINCE the performance of personal computers (PCs) has

SINCE the performance of personal computers (PCs) has 334 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 5, MAY 2010 Multi-Slot Main Memory System for Post DDR3 Jaejun Lee, Sungho Lee, and Sangwook Nam, Member, IEEE Abstract This

More information

A design of 16-bit adiabatic Microprocessor core

A design of 16-bit adiabatic Microprocessor core 194 A design of 16-bit adiabatic Microprocessor core Youngjoon Shin, Hanseung Lee, Yong Moon, and Chanho Lee Abstract A 16-bit adiabatic low-power Microprocessor core is designed. The processor consists

More information

MULTIPHASE clocks are useful in many applications.

MULTIPHASE clocks are useful in many applications. IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 3, MARCH 2004 469 A New DLL-Based Approach for All-Digital Multiphase Clock Generation Ching-Che Chung and Chen-Yi Lee Abstract A new DLL-based approach

More information

Wide frequency range duty cycle correction circuit for DDR interface

Wide frequency range duty cycle correction circuit for DDR interface Wide frequency range duty cycle correction circuit for DDR interface Dongsuk Shin a), Soo-Won Kim, and Chulwoo Kim b) Dept. of Electronics and Computer Engineering, Korea University, Anam-dong, Seongbuk-Gu,

More information

A 1.25 GHz Low Power Multi-phase PLL Using Phase Interpolation between Two Complementary Clocks

A 1.25 GHz Low Power Multi-phase PLL Using Phase Interpolation between Two Complementary Clocks JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.6, DECEMBER, 2015 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2015.15.6.594 ISSN(Online) 2233-4866 A 1.25 GHz Low Power Multi-phase

More information

Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip

Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip B. Janani, N.Arunpriya B.E, Dept. of Electronics and Communication Engineering, Panimalar Engineering College/ Anna

More information

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems M. Meghelli 1, A. Rylyakov 1, S. J. Zier 2, M. Sorna 2, D. Friedman 1 1 IBM T. J. Watson Research Center 2 IBM

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

Design and Implementation of High-Speed CMOS Clock and Data Recovery Circuit for Optical Interconnection Applications. Seong-Jun Song. Dec.

Design and Implementation of High-Speed CMOS Clock and Data Recovery Circuit for Optical Interconnection Applications. Seong-Jun Song. Dec. MS Thesis esign and Implementation of High-Speed CMOS Clock and ata Recovery Circuit for Optical Interconnection Applications Seong-Jun Song ec. 20, 2002 oratory, epartment of Electrical Engineering and

More information

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Engineering, Technology & Applied Science Research Vol. 7, No. 2, 2017, 1473-1477 1473 A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Hamidreza Esmaeili Taheri Department of Electronics

More information

GHz All-digital DLL for Mobile Memory Interface with Phase Sampling Window Adaptation to Reduce Jitter Accumulation

GHz All-digital DLL for Mobile Memory Interface with Phase Sampling Window Adaptation to Reduce Jitter Accumulation JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.411 ISSN(Online) 2233-4866 0.11-2.5 GHz All-digital DLL for Mobile

More information

THIS paper deals with the generation of multi-phase clocks,

THIS paper deals with the generation of multi-phase clocks, 984 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 53, NO. 5, MAY 2006 Phase Averaging and Interpolation Using Resistor Strings or Resistor Rings for Multi-Phase Clock Generation Ju-Ming

More information

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 380 391 A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator Seok KIM 1, Seung-Taek YOO 1,2,

More information

Lecture 15: Clock Recovery

Lecture 15: Clock Recovery Lecture 15: Clock Recovery Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2001 by Mark Horowitz 1 Overview Reading Chapter 19 - High Speed Link Design, by Ken Yang, Stefanos

More information

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren Joint International Mechanical, Electronic and Information Technology Conference (JIMET 2015) A 14-bit 2.5 GS/s based on Multi-Clock Synchronization Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng,

More information

Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator

Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator Nitin Kumar #1, Manoj Kumar *2 # Ganga Institute of Technology & Management 1 nitinkumarvlsi@gmail.com * Guru Jambheshwar University of Science

More information

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.3, JUNE, 2014 http://dx.doi.org/10.5573/jsts.2014.14.3.331 A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

More information

CDR in Mercury Devices

CDR in Mercury Devices CDR in Mercury Devices February 2001, ver. 1.0 Application Note 130 Introduction Preliminary Information High-speed serial data transmission allows designers to transmit highbandwidth data using differential,

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization Sung-Geun Kim, Tongsung Kim, Dae-Hyun Kwon, and Woo-Young Choi Department of Electrical and Electronic Engineering,

More information

Decoupling Technique for Reducing Sensitivity of Differential Pairs to Power-Supply-Induced Jitter

Decoupling Technique for Reducing Sensitivity of Differential Pairs to Power-Supply-Induced Jitter Decoupling Technique for Reducing Sensitivity of Differential Pairs to Power-Supply-Induced Jitter John McNeill Vladimir Zlatkovic David Bowler Lawrence M. DeVito ANALOG DEVICES Application Presentation

More information

A CMOS Clock and Data Recovery Circuit with a Half-Rate Three-State Phase Detector

A CMOS Clock and Data Recovery Circuit with a Half-Rate Three-State Phase Detector 746 PAPER Special Section on Analog Circuit and Device Technologies A CMOS Clock and Data Recovery Circuit with a Half-Rate Three-State Phase Detector Ching-Yuan YANG a), Member, Yu LEE, and Cheng-Hsing

More information

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck Synchronous Mirror Delays ECG 721 Memory Circuit Design Kevin Buck 11/25/2015 Introduction A synchronous mirror delay (SMD) is a type of clock generation circuit Unlike DLLs and PLLs an SMD is an open

More information

AS THE DATA rate demanded by multimedia system

AS THE DATA rate demanded by multimedia system 424 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 7, JULY 2012 An All-Digital Large-N Audio Frequency Synthesizer for HDMI Applications Ching-Che Chung, Member, IEEE, Duo Sheng,

More information

ISSN:

ISSN: 507 CMOS Digital-Phase-Locked-Loop for 1 Gbit/s Clock Recovery Circuit KULDEEP THINGBAIJAM 1, CHIRAG SHARMA 2 1 Department of E&CE, Nitte Meenaskhi Institute of Technology, Yelahanka, Bangalore-560064,

More information

Ultra-high-speed Interconnect Technology for Processor Communication

Ultra-high-speed Interconnect Technology for Processor Communication Ultra-high-speed Interconnect Technology for Processor Communication Yoshiyasu Doi Samir Parikh Yuki Ogata Yoichi Koyanagi In order to improve the performance of storage systems and servers that make up

More information

DLL Based Clock Generator with Low Power and High Speed Frequency Multiplier

DLL Based Clock Generator with Low Power and High Speed Frequency Multiplier DLL Based Clock Generator with Low Power and High Speed Frequency Multiplier Thutivaka Vasudeepthi 1, P.Malarvezhi 2 and R.Dayana 3 1-3 Department of ECE, SRM University SRM Nagar, Kattankulathur, Kancheepuram

More information

Integrated Circuit Design for High-Speed Frequency Synthesis

Integrated Circuit Design for High-Speed Frequency Synthesis Integrated Circuit Design for High-Speed Frequency Synthesis John Rogers Calvin Plett Foster Dai ARTECH H O US E BOSTON LONDON artechhouse.com Preface XI CHAPTER 1 Introduction 1 1.1 Introduction to Frequency

More information

Dual-Rate Fibre Channel Repeaters

Dual-Rate Fibre Channel Repeaters 9-292; Rev ; 7/04 Dual-Rate Fibre Channel Repeaters General Description The are dual-rate (.0625Gbps and 2.25Gbps) fibre channel repeaters. They are optimized for use in fibre channel arbitrated loop applications

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 PLL (Introduction) 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Clock Clock: Timing

More information

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Bootstrapped ring oscillator with feedforward

More information

THE reference spur for a phase-locked loop (PLL) is generated

THE reference spur for a phase-locked loop (PLL) is generated IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 8, AUGUST 2007 653 Spur-Suppression Techniques for Frequency Synthesizers Che-Fu Liang, Student Member, IEEE, Hsin-Hua Chen, and

More information

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements EE290C - Spring 04 Advanced Topics in Circuit Design High-Speed Electrical Interfaces Lecture 11 Components Phase-Locked Loops Viterbi Decoder Borivoje Nikolic March 2, 04. Announcements Homework #2 due

More information

Short Course On Phase-Locked Loops and Their Applications Day 5, AM Lecture. Advanced PLL Examples (Part I)

Short Course On Phase-Locked Loops and Their Applications Day 5, AM Lecture. Advanced PLL Examples (Part I) Short Course On Phase-Locked Loops and Their Applications Day 5, AM Lecture Advanced PLL Examples (Part I) Michael Perrott August 15, 2008 Copyright 2008 by Michael H. Perrott All rights reserved. Outline

More information

Use of Phase Delay Analysis for Evaluating Wideband Circuits: An Alternative to Group Delay Analysis

Use of Phase Delay Analysis for Evaluating Wideband Circuits: An Alternative to Group Delay Analysis IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 12, DECEMBER 2017 3543 Use of Phase Delay Analysis for Evaluating Wideband Circuits: An Alternative to Group Delay Analysis

More information

10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS

10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS 10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu*, Andy Burstein**, Mehrdad Heshami*** Agilent Technologies, Palo Alto, CA *Agilent Technologies, Colorado Springs,

More information

VLSI Broadband Communication Circuits

VLSI Broadband Communication Circuits Miscellaneous topics Department of Electrical Engineering Indian Institute of Technology, Madras Chennai, 600036, India 16 Nov. 2007 Outline Optimal equalizers LMS adaptation Validity of PLL linear model

More information

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab.

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab. High-Speed Circuits and Systems Laboratory B.M.Yu 1 Content 1. Introduction 2. Pre-emphasis 1. Amplitude pre-emphasis 2. Phase pre-emphasis 3. Circuit implantation 4. Result 5. Conclusion 2 Introduction

More information

A Monotonic and Low-Power Digitally Controlled Oscillator Using Standard Cells for SoC Applications

A Monotonic and Low-Power Digitally Controlled Oscillator Using Standard Cells for SoC Applications A Monotonic and Low-Power Digitally Controlled Oscillator Using Standard Cells for SoC Applications Duo Sheng, Ching-Che Chung, and Jhih-Ci Lan Department of Electrical Engineering, Fu Jen Catholic University,

More information

15.3 A 9.9G-10.8Gb/s Rate-Adaptive Clock and Data-Recovery with No External Reference Clock for WDM Optical Fiber Transmission.

15.3 A 9.9G-10.8Gb/s Rate-Adaptive Clock and Data-Recovery with No External Reference Clock for WDM Optical Fiber Transmission. 15.3 A 9.9G-10.8Gb/s Rate-Adaptive Clock and Data-Recovery with No External Reference Clock for WDM Optical Fiber Transmission. H. Noguchi, T. Tateyama, M. Okamoto, H. Uchida, M. Kimura, K. Takahashi Fiber

More information

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.6, NO.4, DECEMBER, 2006 281 A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration Tae-Geun Yu, Seong-Ik Cho, and Hang-Geun Jeong

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

Time Table International SoC Design Conference

Time Table International SoC Design Conference 04 International SoC Design Conference Time Table A Analog and Mixed-Signal Techniques I DV Digital Circuits and VLSI Architectures ET Emerging technology LP Power Electronics / Energy Harvesting Circuits

More information

Digitally Controlled Delay Lines

Digitally Controlled Delay Lines IOSR Journal of VLSI and gnal Processing (IOSR-JVSP) Volume, Issue, Ver. I (May. -Jun. 0), PP -7 e-issn: 00, p-issn No. : 7 www.iosrjournals.org Digitally Controlled Delay Lines Mr. S Vinayaka Babu Abstract:

More information

A Frequency Synthesis of All Digital Phase Locked Loop

A Frequency Synthesis of All Digital Phase Locked Loop A Frequency Synthesis of All Digital Phase Locked Loop S.Saravanakumar 1, N.Kirthika 2 M.E.VLSI DESIGN Sri Ramakrishna Engineering College Coimbatore, Tamilnadu 1 s.saravanakumar21@gmail.com, 2 kirthi.com@gmail.com

More information

OVERVIEW OF OVERSAMPLING CLOCK AND DATA RECOVERY CIRCUITS

OVERVIEW OF OVERSAMPLING CLOCK AND DATA RECOVERY CIRCUITS OVERVIEW OF OVERSAMPLING CLO AND DATA RECOVERY CIRCUITS S. I. Ahmed Carleton University Department of Electronics Ottawa ON K1S 5B6 email: siahmed@doe.carleton.ca Tad A. Kwasniewski Carleton University

More information

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter University of Pennsylvania ScholarlyCommons epartmental Papers (ESE) epartment of Electrical & Systems Engineering 7-1-2003 A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and

More information

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas Self-Biased PLL/DLL ECG721 60-minute Final Project Presentation Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas Outline Motivation Self-Biasing Technique Differential Buffer

More information

Available online at ScienceDirect. International Conference On DESIGN AND MANUFACTURING, IConDM 2013

Available online at  ScienceDirect. International Conference On DESIGN AND MANUFACTURING, IConDM 2013 Available online at www.sciencedirect.com ScienceDirect Procedia Engineering 64 ( 2013 ) 377 384 International Conference On DESIGN AND MANUFACTURING, IConDM 2013 A Novel Phase Frequency Detector for a

More information

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection Somnath Kundu 1, Bongjin Kim 1,2, Chris H. Kim 1 1

More information

The Use and Design of Synchronous Mirror Delays. Vince DiPuccio ECG 721 Spring 2017

The Use and Design of Synchronous Mirror Delays. Vince DiPuccio ECG 721 Spring 2017 The Use and Design of Synchronous Mirror Delays Vince DiPuccio ECG 721 Spring 2017 Presentation Overview Synchronization circuit Topologies covered in class PLL and DLL pros and cons Synchronous mirror

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information