A 1.25 GHz Low Power Multi-phase PLL Using Phase Interpolation between Two Complementary Clocks

Size: px
Start display at page:

Download "A 1.25 GHz Low Power Multi-phase PLL Using Phase Interpolation between Two Complementary Clocks"

Transcription

1 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.6, DECEMBER, 2015 ISSN(Print) ISSN(Online) A 1.25 GHz Low Power Multi-phase PLL Using Phase Interpolation between Two Complementary Clocks Xuefan Jin 1, Jun-Han Bae 2, Jung-Hoon Chun 1, Jintae Kim 3, and Kee-Won Kwon 1 Abstract A 1.25 GHz multi-phase phase-rotating PLL is proposed for oversampling CDR applications and implemented with a low power and small area. Eight equidistant clock phases are simultaneously adjusted by the phase interpolator inside the PLL. The phase interpolator uses only two complementary clocks from a VCO, but it can cover the whole range of phase from 0 to 360 with the help of a PFD timing controller. The output clock phases are digitally adjusted with the resolution of 25 ps and both INL and DNL are less than 0.44 LSB. The proposed PLL was implemented using a 110 nm CMOS technology. It consumes 3.36 mw from 1.2 V supply and occupies mm 2. The jitter rms and jitter pk-pk of the output clock are 1.91 ps and 18 ps, respectively. Index Terms Phase interpolation, PLL, PFD controller, phase-rotating PLL I. INTRODUCTION High-speed and low-power clock and data recovery (CDR) circuits are widely used in wireline communication systems. The multi-phase clock generator and the phase rotator [1] are critical components for phase detection and data sampling in CDR operations. In order to reduce the power and area, it Manuscript received Dec. 5, 2014; accepted Aug. 8, College of Information & Communication Engineering, Sungkyunkwan University, Suwon, Korea 2 Samsung Electronics, Hwasung, Korea 3 Electronics Engineering Department, Konkuk University, Seoul, Korea keewkwon@skku.edu, jhchun@skku.edu is desirable to combine the clock generator and the phase rotator. There have recently been a few research groups that developed phase rotating PLLs that are integrated with internal phase adjustment function [2-4]. For example, in [2], a programmable phase shift is achieved by adding the weighted outputs of multiple XOR phase detectors. With no additional phase rotators, this architecture can achieve both low power and small area. However, the design is vulnerable to frequency offset because the XOR phase detectors cannot detect the frequency difference. In a dual phase frequency detector (PFD) phase rotating PLL [3], the internal phase interpolation is realized by two weighted charge pumps (CP) whose contributions are determined by the output pulse widths of the two PFDs before the CPs. However, it demands additional clock multiplexers for coarse phase selection, and the interpolated internal clock phase and the PLL output clock phases are not actually deterministic [4]. This paper proposes a compact and reliable phase rotating PLL which does not need additional multiplexers required in [3], but still covers the entire phase range from 0 to 360. By controlling the initiation timing of the PFDs, this architecture also solves the problem of non-deterministic behavior. This paper is organized as follows. Section 2 describes the operation mechanism of the conventional phase rotating PLL and its problems. The proposed phase rotating PLL is introduced in Section 3 and its measurement results are demonstrated in Section 4, followed by the conclusions in Section 5.

2 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.6, DECEMBER, II. THE PHASE ROTATING PLL DESIGN Fig. 1 shows the overall architecture of the dual- PFD phase rotating PLL which consists of two MUXes, two PFDs, two weighted CPs, a loop filter (LF), and a ring-type voltage controlled oscillator (VCO). In this design, the phase adjustment is realized by adding a PFD and a CP to the conventional PLL architecture. There are two control signals for coarse and fine control of the clock phase, respectively. The coarse control signals [M 0, M 1 ] for two 2-to-1 MUXes select the clock phases out of two 90 apart VCO outputs. The phases of the two selected clocks (Φ OUT1 and Φ OUT2 ) are compared with the reference clock Φ REF in each PFD. The UP/DN signals from the two PFDs are then fed into the two charge pumps, CP 1 and CP 2, respectively. The charge pump currents, I CP1 and I CP2, are complementarily controlled by the fine control signal. That is, if I CP1 is α x I, then I CP2 is set to (1- α) x I. Here, α is the weighting factor. In this way, the phase of Φ REF is finely controlled and locked between the selected clocks. For example, Fig. 1, illustrates the case when Φ OUT1 is Φ 180 Fig. 2. Timing diagram of CDR operation, The phase interpolation result when [M 0, M 1 ] is [1, 0] and α is 0.2. and Φ OUT2 is Φ 90 with [M 0, M 1 ] being [1, 0]. The Φ REF is then determined by the weighted sum of Φ OUT1 and Φ OUT2 : Φ = αφ + ( 1- α)φ ( 0 α 1) (1) REF ΟUΤ1 ΟUΤ 2 Fig. 1. The dual-pfd phase rotating PLL, Operating principle. To cover the entire phase range, a CDR circuit should control [M 0, M 1 ] and α to obtain the optimum sampling points. Fig. 2 shows the timing relationship between data, reference clock, and sampling clocks when the CDR is locked. Note that the sampling clocks are located at the optimum sampling positions. In this example, the Φ REF is located between Φ 90 and Φ 180 ; therefore, the CDR circuit should set [M 0, M 1 ] as [1, 0]. The fine control signal, α is also determined by the CDR, so that Φ 180 comes close to Φ REF. As a result, Φ 0 and Φ 180 are aligned with the center of the data eyes, and Φ 90 and Φ 270 are aligned with the edges. Fig. 2 shows the UP/DN signals from the PFDs and charge pump currents when the CDR is locked. The peak of I CP2 is higher than that of I CP1, but the net average charge to the LF is zero because

3 596 XUEFAN JIN et al : A 1.25 GHZ LOW POWER MULTI-PHASE PLL USING PHASE INTERPOLATION BETWEEN TWO Fig. 4. Phasor diagram. Φ REF to generate the UP 1 signal and PFD 2 compares the 1 st rising edge of Φ OUT2 with Φ REF to generate the DN 2 signal. As a result, Φ REF is locked between Φ 90 and Φ 360 and is closer to Φ 90. A new method to avoid this nondeterministic problem is described in the next section. III. THE PROPOSED PHASE ROTATING PLL Fig. 3. Two different phase interpolation results when α is 0.2 Desired interpolation between 0 and 90, Undesired interpolation between 90 and 360. Φ 180 is closer to Φ REF than Φ 90. In other words, the amount of charge supplied by I CP2 as denoted as A 2 in Fig. 2 is equal to the charge drawn by I CP1 as denoted as A 1. However, the dual-pfd phase rotating PLL has the problem of nondeterministic characteristics. That is, the interpolation process and the resulting clock locations can vary even though all control signals are fixed. An example of failure is illustrated in Fig. 3. In this example, [M 0, M 1 ] is [0, 0] and the weighting factor α is 0.2. Hence, the PFDs receive the same input phases, Φ 0 and Φ 90, and we would expect Φ REF to eventually be located between Φ 0 (=Φ OUT1 ) and Φ 90 (=Φ OUT2 ) as shown in Fig. 3. In this case, PFD 1 compares the 1 st rising edge of Φ OUT1 with Φ REF to generate the DN 1 signal and PFD 2 compares the 1 st rising edge of Φ OUT2 with Φ REF to generate the UP 2 signal. As a result, Φ REF is locked between Φ 0 and Φ 90 and is closer to Φ 90 than Φ 0 because the weighting factor α is as low as 0.2. However, there is another possible interpolation result, which is illustrated in Fig. 3. In this case, PFD 1 compares the 2 nd rising edge of Φ OUT1, not the 1 st, with There is a preconception that phase interpolation cannot be achieved by only using two complementary clocks, Ф 0 and Ф 180. As illustrated in Fig. 4, the waveform C is the outcome of the interpolation between waveforms A (Ф 0 ) and B (Ф 180 ). When the phase interpolation is achieved by two clocks which are spaced 180 apart, only the amplitude of the interpolated clock is reduced, while the phase is still 0 or 180, depending on the interpolation weight. Therefore, the dual-pfd phase rotating PLL in Fig. 1 utilizes the four clocks from the VCO and employs two multiplexers in front of the two PFDs to get the interpolation clocks. This work replaces the multiplexors by a PFD controller (PFDC) in order to overcome the limitation of a conventional multiplexer-based interpolator as well as solving the nondeterministic problem described in the previous section. In the proposed PLL shown in Fig. 5, the phase interpolation is realized by only two complementary clocks Φ 0 and Φ 180. PFD 1 receives the reference clock, Ф REF, and Ф 0 from the VCO and PFD 2 receives Ф REF and Ф 180. The PFDC controls the activation timing of the two PFDs and determines where Ф REF is placed, in either the upper or lower plane in Fig. 5. Fig. 6 shows the structure of the PFDC. The PFDC receives Φ 0 and Φ 180 from VCO and, depending on the coarse control signal, it selects either Φ 0 or Φ 180 to adjust the activation timing. Whenever the coarse signal changes, the PFDC first deactivates the two PFDs.

4 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.6, DECEMBER, Fig. 5. Architecture of the proposed PLL, Operating principle. Fig. 7. Timing diagram for the proposed PLL interpolation between 0 and 180, between 180 and 360. Fig. 8. Interpolation results according to 4-bit fine digital code. Fig. 6. The proposed PFDC circuit. Afterwards, the internal pulse generator, PG, generates a short pulse whose width is about one clock period. This short pulse is fetched by Φ 0 if the coarse signal is 1 or by Φ 180 if the coarse signal is 0. That is, the timing of EN assertion is determined by the coarse signal. Fig. 7 and show how the PFDC is incorporated with the other parts of the PLL to perform the phase interpolation. Fig. 7 shows the phase interpolation between Ф 0 and Ф 180 when the coarse signal is 0 and α is 0.2. Fig. 7 shows the phase interpolation between Ф 180 and Ф 360 with the same value of α, but when the coarse signal is 1. As shown in Fig. 7, if we want to adjust Ф REF from Ф 0 to Ф 180, the PFDC asserts EN right after the rising edge of Ф 180 and then the PFDs are activated. On the contrary, if we want to adjust Ф REF from Ф 180 to Ф 360, the PFDC selects Ф 0 and sends EN signal to activate the PFD. As a result, the PFDC can adjust the activation timing of the PFDs depending on the desired phase, so that the proposed PLL can effectively solve the non-deterministic problem in the dual-pfd phase rotating PLL. The resolution of phase interpolation is determined by the 1-bit coarse control signal and 4-bit fine control signal. The total net current to the loop filter is controlled by the fine control signal and finally the phase is adjusted from Ф 0 to Ф 360. In the previous design [3], the fine control signal is used to select the number of current sources in each CP, which results in relatively poor linearity of the CP

5 598 XUEFAN JIN et al : A 1.25 GHZ LOW POWER MULTI-PHASE PLL USING PHASE INTERPOLATION BETWEEN TWO Fig. 9. The simulation results of VCO control voltage, output clock performance with two different PLL loop bandwidth in the proposed and conventional circuits. current, because the drain voltage will vary with the number of selected current sources. In the proposed PLL, the CP consists of multiple segments and the fine control signal determines the number of selected segments, improving overall linearity of phase control. Fig. 8 shows the simulated waveforms of the single-phase clock while incrementally changing the 4-bit fine digital code. It shows that the phase is controlled linearly by the 4-bit fine digital code. Fig. 9 shows the VCO control voltage in the proposed and conventional circuits, with two different PLL loop bandwidth, BW loop. When the reference clock is locked in the middle of two adjacent clocks (e.g. Φ 0 and Φ 90 for the conventional, Φ 0 and Φ 180 for the proposed), the pulse width of UP/DN signal in the proposed circuit is twice as wide as the pulse width in the Fig. 10. Photomicrograph of the test chip. conventional circuit. So, the fluctuation of the VCO control voltage in the proposed circuit becomes larger, which may result in slight degradation of output clocks. However, the fluctuation of the control voltage is also affected by the PLL loop bandwidth. The proposed PLL is designed with 1.25 GHz reference clock and MHz loop bandwidth. As shown in Fig. 9, with the PLL loop bandwidth of MHz, the fluctuations of the control voltage in the proposed circuit (solid lines) and that in the conventional circuit (dashed lines) are 1.2 mv and 0.8 mv, respectively. As a result, the peakto-peak jitter, jitter PK-PK, of the proposed PLL is degraded comparing with the conventional PLL, but the difference is only 0.15 ps as shown in Fig. 9. The difference in the peak-to-peak jitter between the proposed and the conventional PLLs is increased to 0.2 ps as the loop bandwidth is doubled to 62.5 MHz. However, the jitter induced by the fluctuation of the control voltage is still negligible. IV. MEASUREMENT RESULTS The proposed phase rotating PLL is implemented in a 110 nm CMOS process and the operation frequency is 1.25 GHz. The proposed PLL occupies 190 µm x 250 µm, as shown in Fig. 10, and consumes 3.36 mw of power from a 1.2 V supply. As shown in Fig. 11, at a frequency of 1.25 GHz, the jitter rms and jitter pk-pk are 1.9 ps and 18 ps, respectively. The phase interpolation is controlled by the 5-bit digital code and its resolution is 25 ps (800 ps/2 5 ). Fig. 12 shows a comparison of the measured delay and ideal delay with the 5-bit digital code. It shows close agreement between the measured delay and ideal delay

6 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.6, DECEMBER, PLL. By employing a PFD controller that mandates the operation of the PFD occur at the right timing, the unwanted phase interpolation is avoided. With the PFD controller, only two complimentary clocks are needed for phase interpolation, which greatly simplifies the feedback path of the PLL. This new phase interpolation technique can be adopted in the clocking circuits such as conventional LC-PLLs where multi-phase clocks are not available. Fig. 11. Measured jitter histogram of output clock. Fig. 12. Measured phase steps, DNL and INL of the proposed PLL. Table 1. Performance Summary Technology Power for the entire range of 5-bit digital code. Fig. 12 shows the DNL and INL of the proposed PLL. As illustrated, the DNL is -0.2 LSB ~ +0.2 LSB and the INL is -0.4 LSB ~ LSB, showing that the DNL and INL are below 0.5 LSB. The performance of the proposed phase rotating PLL is summarized in Table 1. V. CONCLUSIONS In this study, a phase rotating PLL with a PFD controller is proposed and implemented. This phase rotating PLL solves the critical problem which causes the phase interpolation to fail in the dual PFD phase rotating 110 nm CMOS Process 3.36 Mw Area mm 2 Operating Frequency PI resolution INL DNL Jitter RMS/Jitter PK-PK 1.25 GHz 25 ps -0.4 LSB~0.12 LSB -0.2 LSB~0.2 LSB 1.9 ps / 18 ps ACKNOWLEDGMENTS This research was supported by the MSIP (Ministry of Science, ICT and Future Planning), Korea, under the ITRC (Information Technology Research Center) support program (IITP-2015-H ) supervised by the IITP (Institute for Information & communication Technology Promotion). The chip fabrication and EDA tools were supported by the IC Design Education Center at KAIST. REFERENCES [1] Rainer Kreienkamp, Ulrich Langmann, Christoph Zimmermann, Takuma Aoyama, and Hubert Siedhoff, A 10-Gb/s CMOS clock and data recovery circuit with an analog phase interpolator, IEEE J. Solid-State Circuits, vol. 40, no. 3, pp , March [2] Thomas Toifl, Christian Menolfi, Peter Buchmann, Marcel Kossel, Thomas Morf, Robert Reutemann, Michael Ruegg, Martin Schmatz, "A 0.94ps-RMSjitter 0.016mm2 2.5GHz multi-phase generator PLL with 360 digitally programmable phase shift for 10Gb/s serial links," IEEE J. Solid-State Circiuts, vol. 40, no. 12, pp , Dec [3] Sungjoon Kim, Dongyun Lee, Young-Soo Park, Yongsam Moon, and Daeyun Shim, "A dual PFD rotating multi-phase PLL for 5Gbps PCI express Gen2 multi-lane serial link receiver in 0.13um CMOS," in IEEE Symposium on VLSI Circuits, Papers, pp , [4] Jun-Han Bae, Kyoung-Ho Kim, Seok Kim, Kee- Won Kwon, Jung-Hoon Chun, "A low-power dual- PFD phase rotating PLL with a PFD controller for 5Gb/s serial links," in IEEE International

7 600 XUEFAN JIN et al : A 1.25 GHZ LOW POWER MULTI-PHASE PLL USING PHASE INTERPOLATION BETWEEN TWO Symposium on Circuits and Systems, Papers, pp , Xuefan Jin received his B.S. degree in the Department of Electronic Communication Engineering from Yanbian University of Science and Technology (YUST), China, in He is currently pursuing the Combined Master/Ph.D. degree in the Department of Electrical and Computer Engineering from Sungkyunkwan University, Korea. His research interests are in the design of integrated circuits for highspeed chip-to-chip communications, including clock and data recovery blocks. Jun-Han Bae received his B.S. and M.S., degrees in the Department of Semiconductor Systems Engineering from Sungkyunkwan University, Korea, in 2011, and 2013, respecttively. In 2011, he joined at Samsung Electronics, where he has been working in the area of high-speed interface circuit design. His interests include high speed CMOS circuit design and digital mixed-signal ICs. Jung-Hoon Chun is an Associate Professor at Sungkyunkwan University, Korea. He received his B.S. and M.S. degrees in electrical engineering from Seoul National University, Korea, in 1998 and 2000, respecttively. In 2006, he received his Ph.D. degree in electrical engineering from Stanford University. From 2000 to 2001, he worked at Samsung Electronics where he developed BiCMOS RF front-end IC for wireless communication. From 2006 to 2008, he was with Rambus Inc. where he worked on high-speed serial interfaces such as FlexIO TM, XDR TM, XDR2 TM. Dr. Chun also consults for several IC design and foundry companies in Korea and Silicon Valley. His current research includes high-speed serial link, on-chip ESD protection and I/O design, new memory devices, etc. Jintae Kim received the B.S. degree in electrical engineering from Seoul National University, Seoul, Korea, in 1997, and the M.S. and Ph.D. degrees in electrical engineering from University of California, Los Angeles, CA, in 2004 and 2008, respectively. He held various industry positions at Barcelona Design, CA, SiTime Corporation, CA, Agilent Technologies, CA, and Invensense, CA, as a key technical contributor for many sensor, timing, and instrumentation IC products. Since 2012, he has been an assistant professor in Electronics Engineering Department, Konkuk University, Seoul, Korea. Dr. Kim is a recipient of the IEEE Solid-State Circuits Predoctoral Fellowship in Kee-Won Kwon received his B.S. degree in metallurgical engineering from Seoul National University, in He also received his M.S. degree in electrical engineering and the Ph.D. degree in materials science and engineering from Stanford University, Stanford, CA, in 2000 and 2001, respectively. From 1990 to 1995, he was with Samsung Electronics, Giheung, Korea, where he developed tantalum pentoxide dielectric thin films and successfully implemented them into the commercial product of DRAM. In 2000, he worked for Maxim Integrated Products, Sunnyvale, CA where he was involved in two projects of data converting circuit design. He rejoined Samsung Electronics in 2001, and worked in the areas of high performance DRAM designs including Rambus DRAM and XDR DRAM. In 2007, he moved to Sungkyunkwan University, where he is doing research on memory IP design, and low power high speed circuit solutions for analog and mixed-signal devices.

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.287 ISSN(Online) 2233-4866 A 10-Gb/s Multiphase Clock and Data Recovery

More information

A fully digital clock and data recovery with fast frequency offset acquisition technique for MIPI LLI applications

A fully digital clock and data recovery with fast frequency offset acquisition technique for MIPI LLI applications LETTER IEICE Electronics Express, Vol.10, No.10, 1 7 A fully digital clock and data recovery with fast frequency offset acquisition technique for MIPI LLI applications June-Hee Lee 1, 2, Sang-Hoon Kim

More information

An Inductive-coupling Link with a Complementary Switching Transmitter and an Integrating Receiver

An Inductive-coupling Link with a Complementary Switching Transmitter and an Integrating Receiver JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.2, APRIL, 2014 http://dx.doi.org/10.5573/jsts.2014.14.2.227 An Inductive-coupling Link with a Complementary Switching Transmitter and an Integrating

More information

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, OL.13, NO.5, OCTOBER, 2013 http://dx.doi.org/10.5573/jsts.2013.13.5.459 A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier Geontae

More information

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 380 391 A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator Seok KIM 1, Seung-Taek YOO 1,2,

More information

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.3, JUNE, 2014 http://dx.doi.org/10.5573/jsts.2014.14.3.331 A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

More information

A High-Resolution Dual-Loop Digital DLL

A High-Resolution Dual-Loop Digital DLL JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.4, AUGUST, 216 ISSN(Print) 1598-1657 http://dx.doi.org/1.5573/jsts.216.16.4.52 ISSN(Online) 2233-4866 A High-Resolution Dual-Loop Digital DLL

More information

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.6, DECEMBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.6.825 ISSN(Online) 2233-4866 An All-digital Delay-locked Loop using

More information

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop Seong-Jin An 1 and Young-Shig Choi 2 Department of Electronic Engineering, Pukyong National University

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 12: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report #2 due Apr. 20 Expand

More information

CMOS 120 GHz Phase-Locked Loops Based on Two Different VCO Topologies

CMOS 120 GHz Phase-Locked Loops Based on Two Different VCO Topologies JOURNAL OF ELECTROMAGNETIC ENGINEERING AND SCIENCE, VOL. 17, NO. 2, 98~104, APR. 2017 http://dx.doi.org/10.5515/jkiees.2017.17.2.98 ISSN 2234-8395 (Online) ISSN 2234-8409 (Print) CMOS 120 GHz Phase-Locked

More information

20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband Radio Jamming Application

20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband Radio Jamming Application J Electr Eng Technol Vol. 9, No.?: 742-?, 2014 http://dx.doi.org/10.5370/jeet.2014.9.?.742 ISSN(Print) 1975-0102 ISSN(Online) 2093-7423 20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN620: Network Theory Broadband Circuit Design Fall 2012 Lecture 20: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 2 is on Friday Nov. 9 One double-sided 8.5x11

More information

A Clock and Data Recovery Circuit With Programmable Multi-Level Phase Detector Characteristics and a Built-in Jitter Monitor

A Clock and Data Recovery Circuit With Programmable Multi-Level Phase Detector Characteristics and a Built-in Jitter Monitor 1472 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 62, NO. 6, JUNE 2015 A Clock and Data Recovery Circuit With Programmable Multi-Level Phase Detector Characteristics and a Built-in

More information

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

More information

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.4, DECEMBER, 2012 http://dx.doi.org/10.5573/jsts.2012.12.4.405 An 8-Gb/s Inductorless Adaptive Passive Equalizer in 0.18- µm CMOS Technology

More information

Design and Characterization of a 10 Gb/s Clock and Data Recovery Circuit Implemented with Phase-Locked Loop

Design and Characterization of a 10 Gb/s Clock and Data Recovery Circuit Implemented with Phase-Locked Loop Design and Characterization of a Clock and Recovery Implemented with -Locked Loop Jae Ho Song a), Tae Whan Yoo, Jeong Hoon Ko, Chang Soo Park, and Jae Keun Kim A clock and data recovery circuit with a

More information

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control Sooho Cha, Chunseok Jeong, and Changsik Yoo A phase-locked loop (PLL) is described which is operable from 0.4 GHz to 1.2

More information

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters.

More information

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3 ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3 4.3 A Second-Order Semi-Digital Clock Recovery Circuit Based on Injection Locking M.-J. Edward Lee 1, William J. Dally 1,2,

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 16: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project descriptions are posted on the website Preliminary

More information

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN 5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE 802.11a/b/g WLAN Manolis Terrovitis, Michael Mack, Kalwant Singh, and Masoud Zargari 1 Atheros Communications, Sunnyvale, California 1 Atheros

More information

THE serial advanced technology attachment (SATA) is becoming

THE serial advanced technology attachment (SATA) is becoming IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 11, NOVEMBER 2007 979 A Low-Jitter Spread Spectrum Clock Generator Using FDMP Ding-Shiuan Shen and Shen-Iuan Liu, Senior Member,

More information

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.4, AUGUST, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.4.552 ISSN(Online) 2233-4866 A 1.5 Gbps Transceiver Chipset in 0.13-mm

More information

A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation

A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation 2518 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 59, NO. 11, NOVEMBER 2012 A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise

More information

Available online at ScienceDirect. International Conference On DESIGN AND MANUFACTURING, IConDM 2013

Available online at  ScienceDirect. International Conference On DESIGN AND MANUFACTURING, IConDM 2013 Available online at www.sciencedirect.com ScienceDirect Procedia Engineering 64 ( 2013 ) 377 384 International Conference On DESIGN AND MANUFACTURING, IConDM 2013 A Novel Phase Frequency Detector for a

More information

THE DEMANDS of a high-bandwidth dynamic random access

THE DEMANDS of a high-bandwidth dynamic random access 422 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 7, JULY 2011 Clock- and Data-Recovery Circuit With Independently Controlled Eye-Tracking Loop for High-Speed Graphic DRAMs

More information

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation 196 LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation Ching-Yuan YANG a), Member and Jung-Mao LIN, Nonmember SUMMARY In this letter, a 1.25-Gb/s 0.18-µm

More information

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 LECTURE 160 CDR EXAMPLES INTRODUCTION Objective The objective of this presentation is: 1.) Show two examples of clock and data recovery

More information

A Single-Chip 2.4-GHz Direct-Conversion CMOS Receiver for Wireless Local Loop using Multiphase Reduced Frequency Conversion Technique

A Single-Chip 2.4-GHz Direct-Conversion CMOS Receiver for Wireless Local Loop using Multiphase Reduced Frequency Conversion Technique 800 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 5, MAY 2001 A Single-Chip 2.4-GHz Direct-Conversion CMOS Receiver for Wireless Local Loop using Multiphase Reduced Frequency Conversion Technique

More information

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters Jefferson A. Hora, Vincent Alan Heramiz,

More information

Analysis of phase Locked Loop using Ring Voltage Controlled Oscillator

Analysis of phase Locked Loop using Ring Voltage Controlled Oscillator Analysis of phase Locked Loop using Ring Voltage Controlled Oscillator Abhishek Mishra Department of electronics &communication, suresh gyan vihar university Mahal jagatpura, jaipur (raj.), india Abstract-There

More information

DESIGN AND ANALYSIS OF PHASE-LOCKED LOOP AND PERFORMANCE PARAMETERS

DESIGN AND ANALYSIS OF PHASE-LOCKED LOOP AND PERFORMANCE PARAMETERS DESIGN AND ANALYSIS OF PHASE-LOCKED LOOP AND PERFORMANCE PARAMETERS Nilesh D. Patel 1, Gunjankumar R. Modi 2, Priyesh P. Gandhi 3, Amisha P. Naik 4 1 Research Scholar, Institute of Technology, Nirma University,

More information

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Bootstrapped ring oscillator with feedforward

More information

SiNANO-NEREID Workshop:

SiNANO-NEREID Workshop: SiNANO-NEREID Workshop: Towards a new NanoElectronics Roadmap for Europe Leuven, September 11 th, 2017 WP3/Task 3.2 Connectivity RF and mmw Design Outline Connectivity, what connectivity? High data rates

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

A High-speed SerDes Transceiver for Wireless Proximity Communication

A High-speed SerDes Transceiver for Wireless Proximity Communication JOUNAL OF SEMICONDUCTO TECHNOLOGY AND SCIENCE, VOL.18, NO.1, FEBUAY, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.1.042 ISSN(Online) 2233-4866 A High-speed SerDes Transceiver for Wireless

More information

A Design of 8.5 GHz META-VCO based-on Meta-material using 65 nm CMOS Process

A Design of 8.5 GHz META-VCO based-on Meta-material using 65 nm CMOS Process JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.5, OCTOBER, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.5.535 ISSN(Online) 2233-4866 A Design of 8.5 GHz META-VCO based-on

More information

Wide frequency range duty cycle correction circuit for DDR interface

Wide frequency range duty cycle correction circuit for DDR interface Wide frequency range duty cycle correction circuit for DDR interface Dongsuk Shin a), Soo-Won Kim, and Chulwoo Kim b) Dept. of Electronics and Computer Engineering, Korea University, Anam-dong, Seongbuk-Gu,

More information

A SiGe 6 Modulus Prescaler for a 60 GHz Frequency Synthesizer

A SiGe 6 Modulus Prescaler for a 60 GHz Frequency Synthesizer A SiGe 6 Modulus Prescaler for a 6 GHz Frequency Synthesizer Noorfazila Kamal,YingboZhu, Said F. Al-Sarawi, Neil H.E. Weste,, and Derek Abbott The School of Electrical & Electronic Engineering, University

More information

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection Somnath Kundu 1, Bongjin Kim 1,2, Chris H. Kim 1 1

More information

CLOCK AND DATA RECOVERY (CDR) circuits incorporating

CLOCK AND DATA RECOVERY (CDR) circuits incorporating IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1571 Brief Papers Analysis and Modeling of Bang-Bang Clock and Data Recovery Circuits Jri Lee, Member, IEEE, Kenneth S. Kundert, and

More information

A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power and Low Phase Noise Current Starved VCO Gaurav Sharma 1

A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power and Low Phase Noise Current Starved VCO Gaurav Sharma 1 IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 01, 2014 ISSN (online): 2321-0613 A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power

More information

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique ECE1352 Term Paper Low Voltage Phase-Locked Loop Design Technique Name: Eric Hu Student Number: 982123400 Date: Nov. 14, 2002 Table of Contents Abstract pg. 04 Chapter 1 Introduction.. pg. 04 Chapter 2

More information

A Low Power, Small Area Cyclic Time-to-Digital Converter in All-Digital PLL for DVB-S2 Application

A Low Power, Small Area Cyclic Time-to-Digital Converter in All-Digital PLL for DVB-S2 Application JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.13, NO.2, APRIL, 2013 http://dx.doi.org/10.5573/jsts.2013.13.2.145 A Low Power, Small Area Cyclic Time-to-Digital Converter in All-Digital PLL for DVB-S2

More information

REDUCING power consumption and enhancing energy

REDUCING power consumption and enhancing energy 548 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 63, NO. 6, JUNE 2016 A Low-Voltage PLL With a Supply-Noise Compensated Feedforward Ring VCO Sung-Geun Kim, Jinsoo Rhim, Student Member,

More information

Integrated Circuit Design for High-Speed Frequency Synthesis

Integrated Circuit Design for High-Speed Frequency Synthesis Integrated Circuit Design for High-Speed Frequency Synthesis John Rogers Calvin Plett Foster Dai ARTECH H O US E BOSTON LONDON artechhouse.com Preface XI CHAPTER 1 Introduction 1 1.1 Introduction to Frequency

More information

Phase Locked Loop Design for Fast Phase and Frequency Acquisition

Phase Locked Loop Design for Fast Phase and Frequency Acquisition Phase Locked Loop Design for Fast Phase and Frequency Acquisition S.Anjaneyulu 1,J.Sreepavani 2,K.Pramidapadma 3,N.Varalakshmi 4,S.Triven 5 Lecturer,Dept.of ECE,SKU College of Engg. & Tech.,Ananthapuramu

More information

Sudatta Mohanty, Madhusmita Panda, Dr Ashis kumar Mal

Sudatta Mohanty, Madhusmita Panda, Dr Ashis kumar Mal International Journal of Scientific & Engineering Research, Volume 5, Issue 5, May-2014 45 Design and Performance Analysis of a Phase Locked Loop using Differential Voltage Controlled Oscillator Sudatta

More information

Energy Efficient and High Speed Charge-Pump Phase Locked Loop

Energy Efficient and High Speed Charge-Pump Phase Locked Loop Energy Efficient and High Speed Charge-Pump Phase Locked Loop Sherin Mary Enosh M.Tech Student, Dept of Electronics and Communication, St. Joseph's College of Engineering and Technology, Palai, India.

More information

Cost Effective Spread Spectrum Clock Generator Design Chulwoo Kim, Minyoung Song, Sewook Hwang

Cost Effective Spread Spectrum Clock Generator Design Chulwoo Kim, Minyoung Song, Sewook Hwang Cost Effective Spread Spectrum Clock Generator Design Chulwoo Kim, Minyoung Song, Sewook Hwang Advanced Integrated Systems Lab. Korea University, Seoul, Korea Outline Introduction Spread Spectrum Clock

More information

A Frequency Synthesis of All Digital Phase Locked Loop

A Frequency Synthesis of All Digital Phase Locked Loop A Frequency Synthesis of All Digital Phase Locked Loop S.Saravanakumar 1, N.Kirthika 2 M.E.VLSI DESIGN Sri Ramakrishna Engineering College Coimbatore, Tamilnadu 1 s.saravanakumar21@gmail.com, 2 kirthi.com@gmail.com

More information

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS Diary R. Sulaiman e-mail: diariy@gmail.com Salahaddin University, Engineering College, Electrical Engineering Department Erbil, Iraq Key

More information

Research on Self-biased PLL Technique for High Speed SERDES Chips

Research on Self-biased PLL Technique for High Speed SERDES Chips 3rd International Conference on Machinery, Materials and Information Technology Applications (ICMMITA 2015) Research on Self-biased PLL Technique for High Speed SERDES Chips Meidong Lin a, Zhiping Wen

More information

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems M. Meghelli 1, A. Rylyakov 1, S. J. Zier 2, M. Sorna 2, D. Friedman 1 1 IBM T. J. Watson Research Center 2 IBM

More information

20Gb/s 0.13um CMOS Serial Link

20Gb/s 0.13um CMOS Serial Link 20Gb/s 0.13um CMOS Serial Link Patrick Chiang (pchiang@stanford.edu) Bill Dally (billd@csl.stanford.edu) Ming-Ju Edward Lee (ed@velio.com) Computer Systems Laboratory Stanford University Stanford University

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

Design and Implementation of High-Speed CMOS Clock and Data Recovery Circuit for Optical Interconnection Applications. Seong-Jun Song. Dec.

Design and Implementation of High-Speed CMOS Clock and Data Recovery Circuit for Optical Interconnection Applications. Seong-Jun Song. Dec. MS Thesis esign and Implementation of High-Speed CMOS Clock and ata Recovery Circuit for Optical Interconnection Applications Seong-Jun Song ec. 20, 2002 oratory, epartment of Electrical Engineering and

More information

A GHz Wideband Sub-harmonically Injection- Locked PLL with Adaptive Injection Timing Alignment Technique

A GHz Wideband Sub-harmonically Injection- Locked PLL with Adaptive Injection Timing Alignment Technique A 2.4 3.6-GHz Wideband Sub-harmonically Injection- Locked PLL with Adaptive Injection Timing Alignment Technique Abstract: This paper proposes a wideband sub harmonically injection-locked PLL (SILPLL)

More information

Design and Analysis of a Second Order Phase Locked Loops (PLLs)

Design and Analysis of a Second Order Phase Locked Loops (PLLs) Design and Analysis of a Second Order Phase Locked Loops (PLLs) DIARY R. SULAIMAN Engineering College - Electrical Engineering Department Salahaddin University-Hawler Zanco Street IRAQ Abstract: - This

More information

A Low Area, Switched-Resistor Loop Filter Technique for Fractional-N Synthesizers Applied to a MEMS-based Programmable Oscillator

A Low Area, Switched-Resistor Loop Filter Technique for Fractional-N Synthesizers Applied to a MEMS-based Programmable Oscillator A Low Area, Switched-Resistor Loop Filter Technique for Fractional-N Synthesizers Applied to a MEMS-based Programmable Oscillator ISSCC 00, Session 3. M.H. Perrott, S. Pamarti, E. Hoffman, F.S. Lee, S.

More information

Optimization of Digitally Controlled Oscillator with Low Power

Optimization of Digitally Controlled Oscillator with Low Power IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. I (Nov -Dec. 2015), PP 52-57 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Optimization of Digitally Controlled

More information

A Low-Ripple Poly-Si TFT Charge Pump for Driver-Integrated LCD Panel

A Low-Ripple Poly-Si TFT Charge Pump for Driver-Integrated LCD Panel 606 EEE Transactions on Consumer Electronics, ol. 51, No. 2, MAY 2005 A Low-Ripple Poly-Si TFT Charge Pump for Driver-ntegrated LCD Panel Changsik Yoo, Member, EEE and Kyun-Lyeol Lee Abstract A low-ripple

More information

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition P. K. Rout, B. P. Panda, D. P. Acharya and G. Panda 1 Department of Electronics and Communication Engineering, School of Electrical

More information

PHASE-LOCKED loops (PLLs) are widely used in many

PHASE-LOCKED loops (PLLs) are widely used in many IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 3, MARCH 2011 149 Built-in Self-Calibration Circuit for Monotonic Digitally Controlled Oscillator Design in 65-nm CMOS Technology

More information

A 285-fs rms Integrated Jitter Injection-Locked Ring PLL with Charge-Stored Complementary Switch Injection Technique

A 285-fs rms Integrated Jitter Injection-Locked Ring PLL with Charge-Stored Complementary Switch Injection Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.6, DECEMBER, 216 ISSN(Print) 1598-1657 https://doi.org/1.5573/jsts.216.16.6.86 ISSN(Online) 2233-4866 A 285-fs rms Integrated Jitter Injection-Locked

More information

Choosing Loop Bandwidth for PLLs

Choosing Loop Bandwidth for PLLs Choosing Loop Bandwidth for PLLs Timothy Toroni SVA Signal Path Solutions April 2012 1 Phase Noise (dbc/hz) Choosing a PLL/VCO Optimized Loop Bandwidth Starting point for setting the loop bandwidth is

More information

THE reference spur for a phase-locked loop (PLL) is generated

THE reference spur for a phase-locked loop (PLL) is generated IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 8, AUGUST 2007 653 Spur-Suppression Techniques for Frequency Synthesizers Che-Fu Liang, Student Member, IEEE, Hsin-Hua Chen, and

More information

A single-slope 80MS/s ADC using two-step time-to-digital conversion

A single-slope 80MS/s ADC using two-step time-to-digital conversion A single-slope 80MS/s ADC using two-step time-to-digital conversion The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

A Fast Locking Digital Phase-Locked Loop using Frequency Difference Stage

A Fast Locking Digital Phase-Locked Loop using Frequency Difference Stage International Journal of Engineering & Technology IJET-IJENS Vol:14 No:04 75 A Fast Locking Digital Phase-Locked Loop using Frequency Difference Stage Mohamed A. Ahmed, Heba A. Shawkey, Hamed A. Elsemary,

More information

Design of a 3.3-V 1-GHz CMOS Phase Locked Loop with a Two-Stage Self-Feedback Ring Oscillator

Design of a 3.3-V 1-GHz CMOS Phase Locked Loop with a Two-Stage Self-Feedback Ring Oscillator Journal of the Korean Physical Society, Vol. 37, No. 6, December 2000, pp. 803 807 Design of a 3.3-V 1-GHz CMOS Phase Locked Loop with a Two-Stage Self-Feedback Ring Oscillator Yeon Kug Moon Korea Advanced

More information

GHz All-digital DLL for Mobile Memory Interface with Phase Sampling Window Adaptation to Reduce Jitter Accumulation

GHz All-digital DLL for Mobile Memory Interface with Phase Sampling Window Adaptation to Reduce Jitter Accumulation JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.411 ISSN(Online) 2233-4866 0.11-2.5 GHz All-digital DLL for Mobile

More information

Designing of Charge Pump for Fast-Locking and Low-Power PLL

Designing of Charge Pump for Fast-Locking and Low-Power PLL Designing of Charge Pump for Fast-Locking and Low-Power PLL Swati Kasht, Sanjay Jaiswal, Dheeraj Jain, Kumkum Verma, Arushi Somani Abstract The specific property of fast locking of PLL is required in many

More information

Hybrid Frequency Synthesizer Combines Octave Tuning Range and Millihertz Steps

Hybrid Frequency Synthesizer Combines Octave Tuning Range and Millihertz Steps Hybrid Frequency Synthesizer Combines Octave Tuning Range and Millihertz Steps DDS and PLL techniques are combined in this high-resolution synthesizer By Benjamin Sam Analog Devices Northwest Laboratories

More information

THE BASIC BUILDING BLOCKS OF 1.8 GHZ PLL

THE BASIC BUILDING BLOCKS OF 1.8 GHZ PLL THE BASIC BUILDING BLOCKS OF 1.8 GHZ PLL IN CMOS TECHNOLOGY L. Majer, M. Tomáška,V. Stopjaková, V. Nagy, and P. Malošek Department of Microelectronics, Slovak Technical University, Ilkovičova 3, Bratislava,

More information

A GHz Dual-Loop SAR-controlled Duty-Cycle Corrector Using a Mixed Search Algorithm

A GHz Dual-Loop SAR-controlled Duty-Cycle Corrector Using a Mixed Search Algorithm http://dx.doi.org/10.5573/jsts.2013.13.2.152 JURNAL F SEMICNDUCTR TECHNLGY AND SCIENCE, VL.13, N.2, APRIL, 2013 A 0.5 2.0 GHz DualLoop SARcontrolled DutyCycle Corrector Using a Mixed Search Algorithm Sangwoo

More information

Accurate Sub-1 V CMOS Bandgap Voltage Reference with PSRR of -118 db

Accurate Sub-1 V CMOS Bandgap Voltage Reference with PSRR of -118 db JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.4, AUGUST, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.4.528 ISSN(Online) 2233-4866 Accurate Sub-1 V CMOS Bandgap Voltage

More information

A 0.18µm CMOS Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link

A 0.18µm CMOS Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link 1 A 0.18µm CMOS 3.125-Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link Ki-Hyuk Lee, Jae-Wook Lee nonmembers and Woo-Young Choi regular member

More information

NEW WIRELESS applications are emerging where

NEW WIRELESS applications are emerging where IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 709 A Multiply-by-3 Coupled-Ring Oscillator for Low-Power Frequency Synthesis Shwetabh Verma, Member, IEEE, Junfeng Xu, and Thomas H. Lee,

More information

A Clock Regenerator using Two 2 nd Order Sigma-Delta Modulators for Wide Range of Dividing Ratio

A Clock Regenerator using Two 2 nd Order Sigma-Delta Modulators for Wide Range of Dividing Ratio http://dx.doi.org/10.5573/jsts.2012.12.1.10 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.1, MARCH, 2012 A Clock Regenerator using Two 2 nd Order Sigma-Delta Modulators for Wide Range of

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

Widely Tunable Adaptive Resolution-controlled Read-sensing Reference Current Generation for Reliable PRAM Data Read at Scaled Technologies

Widely Tunable Adaptive Resolution-controlled Read-sensing Reference Current Generation for Reliable PRAM Data Read at Scaled Technologies JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.363 ISSN(Online) 2233-4866 Widely Tunable Adaptive Resolution-controlled

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 010 Lecture 3: CDR Wrap-Up Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam is April 30 Will emphasize

More information

Noise Analysis of Phase Locked Loops

Noise Analysis of Phase Locked Loops Noise Analysis of Phase Locked Loops MUHAMMED A. IBRAHIM JALIL A. HAMADAMIN Electrical Engineering Department Engineering College Salahaddin University -Hawler ERBIL - IRAQ Abstract: - This paper analyzes

More information

15.3 A 9.9G-10.8Gb/s Rate-Adaptive Clock and Data-Recovery with No External Reference Clock for WDM Optical Fiber Transmission.

15.3 A 9.9G-10.8Gb/s Rate-Adaptive Clock and Data-Recovery with No External Reference Clock for WDM Optical Fiber Transmission. 15.3 A 9.9G-10.8Gb/s Rate-Adaptive Clock and Data-Recovery with No External Reference Clock for WDM Optical Fiber Transmission. H. Noguchi, T. Tateyama, M. Okamoto, H. Uchida, M. Kimura, K. Takahashi Fiber

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

Phase-Locked Loop with Leakage and Power/Ground Noise Compensation in 32nm Technology

Phase-Locked Loop with Leakage and Power/Ground Noise Compensation in 32nm Technology JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.7, NO.4, DECEMBER, 2007 241 Phase-Locked Loop with Leakage and Power/Ground Noise Compensation in 32nm Technology Kyung Ki Kim*, Yong-Bin Kim*, and

More information

Analysis of Phase Noise Profile of a 1.1 GHz Phase-locked Loop

Analysis of Phase Noise Profile of a 1.1 GHz Phase-locked Loop Analysis of Phase Noise Profile of a 1.1 GHz Phase-locked Loop J. Handique, Member, IAENG and T. Bezboruah, Member, IAENG 1 Abstract We analyzed the phase noise of a 1.1 GHz phaselocked loop system for

More information

A Low-Noise Phase-Locked Loop Design by Loop Bandwidth Optimization

A Low-Noise Phase-Locked Loop Design by Loop Bandwidth Optimization A Low-Noise Phase-Locked Loop Design by Loop Bandwidth Optimization 유병민 High-Speed Circuits & Systems Lab. 1/19 Content 1. Introduction 2. PLL jitter analysis 3. Design examples 4. Experimental results

More information

A Triple-Band Voltage-Controlled Oscillator Using Two Shunt Right-Handed 4 th -Order Resonators

A Triple-Band Voltage-Controlled Oscillator Using Two Shunt Right-Handed 4 th -Order Resonators JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.4, AUGUST, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.4.506 ISSN(Online) 2233-4866 A Triple-Band Voltage-Controlled Oscillator

More information

FFT Analysis, Simulation of Computational Model and Netlist Model of Digital Phase Locked Loop

FFT Analysis, Simulation of Computational Model and Netlist Model of Digital Phase Locked Loop IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 10 April 2016 ISSN (online): 2349-784X FFT Analysis, Simulation of Computational Model and Netlist Model of Digital Phase

More information

Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL

Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL 2.1 Background High performance phase locked-loops (PLL) are widely used in wireless communication systems to provide

More information

2284 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 10, OCTOBER /$ IEEE

2284 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 10, OCTOBER /$ IEEE 2284 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 10, OCTOBER 2008 A 622-Mb/s Mixed-Mode BPSK Demodulator Using a Half-Rate Bang-Bang Phase Detector Duho Kim, Student Member, IEEE, Kwang-chun Choi,

More information

IN RECENT years, the phase-locked loop (PLL) has been a

IN RECENT years, the phase-locked loop (PLL) has been a 430 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 6, JUNE 2010 A Two-Cycle Lock-In Time ADPLL Design Based on a Frequency Estimation Algorithm Chia-Tsun Wu, Wen-Chung Shen,

More information

Low Phase Noise Series-coupled VCO using Current-reuse and Armstrong Topologies

Low Phase Noise Series-coupled VCO using Current-reuse and Armstrong Topologies JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.1, FEBRUARY, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.1.042 ISSN(Online) 2233-4866 Low Phase Noise Series-coupled VCO

More information

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.6, NO.4, DECEMBER, 2006 281 A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration Tae-Geun Yu, Seong-Ik Cho, and Hang-Geun Jeong

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS

10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS 10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu*, Andy Burstein**, Mehrdad Heshami*** Agilent Technologies, Palo Alto, CA *Agilent Technologies, Colorado Springs,

More information

A design of 16-bit adiabatic Microprocessor core

A design of 16-bit adiabatic Microprocessor core 194 A design of 16-bit adiabatic Microprocessor core Youngjoon Shin, Hanseung Lee, Yong Moon, and Chanho Lee Abstract A 16-bit adiabatic low-power Microprocessor core is designed. The processor consists

More information