Case5:08-cv PSG Document Filed09/17/13 Page1 of 11 EXHIBIT

Size: px
Start display at page:

Download "Case5:08-cv PSG Document Filed09/17/13 Page1 of 11 EXHIBIT"

Transcription

1 Case5:08-cv PSG Document Filed09/17/13 Page1 of 11 EXHIBIT N

2 ISSCC 2004 Case5:08-cv PSG / SESSION 26 / OPTICAL AND Document FAST I/O / Filed09/17/13 Page2 of A PVT Tolerant 0.18MHz to 600MHz Self-Calibrated Digital PLL in 90nm CMOS Process Jerry (Heng-Chih) Lin, Baher Haroun, Tim Foo, Jin-Sheng Wang, Bob Helmick, Scott Randall, Terry Mayhugh, Chris Barr, Jeff Kirkpatrick Texas Instruments, Dallas, TX This DPLL is very flexible and meets tight clock jitter requirements for most wireless baseband circuits. It has wide reference frequency (0.03M-65MHz) and output frequency (0.18M-600MHz) with near constant damping factor and loop bandwidth to reference frequency ratio, independent of the reference frequency, the divider ratio, and the PVT variations (voltage of V, with 1.2V typical, and temperature -40 to 125 O C). The low jitter and wide operation range are achieved through (1) a logarithmic time digitizer (T2D), (2) a start-up auto-calibration and normalization algorithm, (3) a novel digitally controlled oscillator (DCO) with low voltage operation and high PSRR. Fabricated in 90nm CMOS, the p-p jitter is < 4% of the output clock. The power is 1.7mW at 1V and 520MHz output. The process scalability, flexibility, performance, and power/voltage of this DPLL compare favorably with state of the art analog PLL [1]. Figure is the block diagram of this DPLL. The input clock divides into the REFCLK. PFD and T2D blocks compare the feedback clock (FBCLK) and REFCLK to generate the signed, logarithmically compressed 6b digital representation of the phase error in terms of the number of inverter delays. Digital controller then linearizes the 6b phase error through a Look-Up- Table (LUT), and calculates the required DCO code with the digital filter, normalization block, and accumulator. The DCO frequency vs. code transfer function is almost linear. The wide output frequency (>3000x) is achieved through the smart L divider, with the ratio determined during the start-up calibration phase by setting the DCO at a pre-determined code and comparing the frequency difference between the divide by M clock and REFCLK. Two output clocks are provided. One is the high speed clock; the other is the divide-by-2 version with a better duty cycle. The output clock is divided by M to be the FBCLK, closing the loop. The user can select REFCLK from 0.03MHz to 65MHz, and the feedback divider M from 1 to Loop bandwidth and damping factor are critical design issues for this wide dynamic range DPLL. It does not have a REFCLK frequency range indicator and the loop transfer function is affected by the variability of individual blocks (over PVT, T2D has >4x delay variation and DCO >3x frequency variation). Hence, to maintain the constant loop bandwidth to REFCLK frequency ratio and constant damping factor, this DPLL performs a startup calibration and normalization by calibrating the DCO period with respect to the T2D delay. This is done by setting the DCO at a pre-determined code, forwarding it to the T2D, and counting the equivalent number of inverter delays within one DCO period (count). It is shown that if (1) the DCO frequency vs. code transfer function is linear (the slope can vary over PVT), (2) the x-axis intercept points of DCO transfer function are the same (-X) across PVT, then, with a normalization factor which is a function of the DCO_Code, X, count, M, and L, the constant damping factor and loop bandwidth vs. reference frequency ratio is attained regardless of the REFCLK frequency, divider ratio, and PVT conditions. Even if the two DCO assumptions are not accurate, this method is still valid to the first order and can be modified for calibration using two or more points at the cost of design complexity. Since the loop filter operates in the digital domain which scales with REFCLK, by calibrating two analog blocks, DCO & T2D, with each other and knowing the value of the L the entire loop is expressed as a REFCLK frequency independent Z domain transfer function with fixed coefficients. A consistent loop performance for wide range of operation is thus achieved. The wide input frequency range is achieved through the logarithmically compressed time measurement (T2D) block and a linearization LUT in the digital domain. As shown in Fig , the phase error (PE) pulse width is converted to 6b PE code through the self-terminating exponentially increased delay chain. This compressed PE code is linearized by the LUT. The combination of logarithmic T2D and LUT significantly increases the reference frequency range with very little area and power penalty. Although quantization error increases with the delay chain, it does not affect the output jitter percentage. With a lower REFCLK frequency (longer period), more delay chain elements get exercised, which increases the absolute PE and quantization error. However, the PE or quantization error expressed as a percentage of the REFCLK period remains the same. Figure shows the DCO schematic with one 10b DAC directly stacked on top of a 3-stage current-controlled ring oscillator. The delay element uses a concept similar to [2] with current dependent negative resistance (or delay). 10b (9b thermometer + 1b binary) segmented current steering DAC is used to ensure the monotonicity and to reduce the glitch energy. Memory-like layout architecture with a row/column decoder is used to reduce the number of control lines. This simplifies the routing and reduces the coupling to the current output. Each current element is constructed with a wide-swing cascode current source and with switches controlled by the appropriate combination of row/column decoder outputs. By using a triangular column decoder output and different odd and even row controls, large current glitches are avoided when DPLL locks at row boundaries. The oscillator is separated from the supply by the DAC output impedance thus good PSRR (typical 1% frequency changes with 5% supply changes) is achieved. Figure is the histogram of the measured 260MHz(65MHz x 4) and 30.7MHz(0.03MHz x 1023) divide-by-2 output waveforms. The p-p jitters with ~100k hits are 140ps(3.6%) and 400ps(1.2%), respectively. Part of the jitter is caused by the single ended open drain output buffer so that the internal clock jitter should be better. Figure shows the Schmoo plot of the SoC chip with this DPLL driving the embedded logic core. The absolute range of supply for this chip is V. This DPLL has the same maximum voltage range and higher frequency range than the core which helps in the characterization in the extreme limits. Figure summarizes the chip performance. The power consumption varies with supply voltage, input and output frequency. The measured power is 1.7mW at 1V and a 520MHz output. Figure is the chip micrograph. The overall area is 0.18mm 2 with the digital controller occupying ~45% and the decoupling capacitor ~20% of the chip area. Acknowledgments: We thank Y. F. Tuan on chip layout; R. Gu, B. Staszewski, S. Goldman for discussion; C. Buchanan & P. Blanc on characterization. References: [1] J. Maneatis et al., Self-Biased High-Bandwidth Low Jitter 1-to-4096 Multiplier Clock-Generator PLL, ISSCC Dig. Tech. Papers, pp , Feb [2] B. Razavi, Design of Analog Integrated Circuits, p. 515, McGraw- Hill, 2001.

3 Case5:08-cv PSG Document ISSCC 2004 Filed09/17/13 / February 18, 2004 Page3 / Salon of 119 / 4:45 PM Figure : DPLL block diagram. Figure : Logarithmic time digitizer (T2D) and Look Up Table (LUT). Figure : Digitally controlled oscillator (DCO) schematic. Figure : Measured DPLL divided-by-2 output waveform and histogram. Figure : Measured working region Schmoo plot with DPLL and logic core. Figure : Performance summary.

4 Case5:08-cv PSG Document Filed09/17/13 Page4 of 11 Figure : Chip micrograph.

5 Case5:08-cv PSG Document Filed09/17/13 Page5 of 11 Figure : DPLL block diagram.

6 Case5:08-cv PSG Document Filed09/17/13 Page6 of 11 Figure : Logarithmic time digitizer (T2D) and Look Up Table (LUT).

7 Case5:08-cv PSG Document Filed09/17/13 Page7 of 11 Figure : Digitally controlled oscillator (DCO) schematic.

8 Case5:08-cv PSG Document Filed09/17/13 Page8 of 11 Figure : Measured DPLL divided-by-2 output waveform and histogram.

9 Case5:08-cv PSG Document Filed09/17/13 Page9 of 11 Figure : Measured working region Schmoo plot with DPLL and logic core.

10 Case5:08-cv PSG Document Filed09/17/13 Page10 of 11 Figure : Performance summary.

11 Case5:08-cv PSG Document Filed09/17/13 Page11 of 11 Figure : Chip micrograph.

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control Sooho Cha, Chunseok Jeong, and Changsik Yoo A phase-locked loop (PLL) is described which is operable from 0.4 GHz to 1.2

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

Multiple Reference Clock Generator

Multiple Reference Clock Generator A White Paper Presented by IPextreme Multiple Reference Clock Generator Digitial IP for Clock Synthesis August 2007 IPextreme, Inc. This paper explains the concept behind the Multiple Reference Clock Generator

More information

A Novel Low Power Digitally Controlled Oscillator with Improved linear Operating Range

A Novel Low Power Digitally Controlled Oscillator with Improved linear Operating Range A Novel Low Power Digitally Controlled Oscillator with Improved linear Operating Range Nasser Erfani Majd, Mojtaba Lotfizad Abstract In this paper, an ultra low power and low jitter 12bit CMOS digitally

More information

A Compact, Low-Power Low- Jitter Digital PLL. Amr Fahim Qualcomm, Inc.

A Compact, Low-Power Low- Jitter Digital PLL. Amr Fahim Qualcomm, Inc. A Compact, Low-Power Low- Jitter Digital PLL Amr Fahim Qualcomm, Inc. 1 Outline Introduction & Motivation Digital PLL Architectures Proposed DPLL Architecture Analysis of DPLL DPLL Adaptive Algorithm DPLL

More information

A fully synthesizable injection-locked PLL with feedback current output DAC in 28 nm FDSOI

A fully synthesizable injection-locked PLL with feedback current output DAC in 28 nm FDSOI LETTER IEICE Electronics Express, Vol.1, No.15, 1 11 A fully synthesizable injection-locked PLL with feedback current output DAC in 8 nm FDSOI Dongsheng Yang a), Wei Deng, Aravind Tharayil Narayanan, Rui

More information

PHASE-LOCKED loops (PLLs) are widely used in many

PHASE-LOCKED loops (PLLs) are widely used in many IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 3, MARCH 2011 149 Built-in Self-Calibration Circuit for Monotonic Digitally Controlled Oscillator Design in 65-nm CMOS Technology

More information

RECENT advances in integrated circuit (IC) technology

RECENT advances in integrated circuit (IC) technology IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 3, MARCH 2007 247 A Design Procedure for All-Digital Phase-Locked Loops Based on a Charge-Pump Phase-Locked-Loop Analogy Volodymyr

More information

MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS

MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS Moataz Abdelfattah Supervised by: AUC Prof. Yehea Ismail Dr. Maged Ghoniema Intel Dr. Mohamed Abdel-moneum (Industry Mentor) Outline Introduction

More information

Phase Locked Loop Design for Fast Phase and Frequency Acquisition

Phase Locked Loop Design for Fast Phase and Frequency Acquisition Phase Locked Loop Design for Fast Phase and Frequency Acquisition S.Anjaneyulu 1,J.Sreepavani 2,K.Pramidapadma 3,N.Varalakshmi 4,S.Triven 5 Lecturer,Dept.of ECE,SKU College of Engg. & Tech.,Ananthapuramu

More information

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 380 391 A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator Seok KIM 1, Seung-Taek YOO 1,2,

More information

Biju Viswanath Rajagopal P C Ramya Nair S R Jobin Cyriac. QuEST Global

Biju Viswanath Rajagopal P C Ramya Nair S R Jobin Cyriac. QuEST Global an effective design and verification methodology for digital PLL This Paper depicts an effective simulation methodology to overcome the spice simulation time overhead of digital dominant, low frequency

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

AN ABSTRACT OF THE THESIS OF

AN ABSTRACT OF THE THESIS OF AN ABSTRACT OF THE THESIS OF Erik D Geissenhainer for the degree of Master of Science in Electrical and Computer Engineering presented on July 21, 2006. Title: Characterization of a Digital Phase Locked

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

Lecture 23: PLLs. Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class

Lecture 23: PLLs. Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 23: PLLs Announcements Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class Open book open notes Project

More information

ISSCC 2006 / SESSION 20 / WLAN/WPAN / 20.5

ISSCC 2006 / SESSION 20 / WLAN/WPAN / 20.5 20.5 An Ultra-Low Power 2.4GHz RF Transceiver for Wireless Sensor Networks in 0.13µm CMOS with 400mV Supply and an Integrated Passive RX Front-End Ben W. Cook, Axel D. Berny, Alyosha Molnar, Steven Lanzisera,

More information

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3 ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3 4.3 A Second-Order Semi-Digital Clock Recovery Circuit Based on Injection Locking M.-J. Edward Lee 1, William J. Dally 1,2,

More information

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR Yang-Shyung Shyu * and Jiin-Chuan Wu Dept. of Electronics Engineering, National Chiao-Tung University 1001 Ta-Hsueh Road, Hsin-Chu, 300, Taiwan * E-mail:

More information

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren Joint International Mechanical, Electronic and Information Technology Conference (JIMET 2015) A 14-bit 2.5 GS/s based on Multi-Clock Synchronization Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng,

More information

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI Assistant Professor, E Mail: manoj.jvwu@gmail.com Department of Electronics and Communication Engineering Baldev Ram Mirdha Institute

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 138 CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 6.1 INTRODUCTION The Clock generator is a circuit that produces the timing or the clock signal for the operation in sequential circuits. The circuit

More information

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 15.7 A 4µA-Quiescent-Current Dual-Mode Buck Converter IC for Cellular Phone Applications Jinwen Xiao, Angel Peterchev, Jianhui Zhang, Seth Sanders

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

An Ultra-Low-Power 15-bit Digitally Controlled Oscillator with High Resolution

An Ultra-Low-Power 15-bit Digitally Controlled Oscillator with High Resolution Journal of Emerging Trends in Engineering and Applied Sciences (JETEAS) 2 (2): 323-328 Scholarlink Research Institute Journals, 2011 (ISSN: 2141-7016) jeteas.scholarlinkresearch.org Journal of Emerging

More information

A Wide Tuning Range (1 GHz-to-15 GHz) Fractional-N All-Digital PLL in 45nm SOI

A Wide Tuning Range (1 GHz-to-15 GHz) Fractional-N All-Digital PLL in 45nm SOI 7- A Wide Tuning Range ( GHz-to-5 GHz) Fractional-N All-Digital PLL in 45nm SOI Alexander Rylyakov, Jose Tierno, George English 2, Michael Sperling 2, Daniel Friedman IBM T. J. Watson Research Center Yorktown

More information

Dedication. To Mum and Dad

Dedication. To Mum and Dad Dedication To Mum and Dad Acknowledgment Table of Contents List of Tables List of Figures A B A B 0 1 B A List of Abbreviations Abstract Chapter1 1 Introduction 1.1. Motivation Figure 1. 1 The relative

More information

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 8, AUGUST 2002 1021 A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle Hsiang-Hui Chang, Student Member, IEEE, Jyh-Woei Lin, Ching-Yuan

More information

A Modular All Digital PLL Architecture Enabling Both 1-to-2 GHz and 24-to 32-GHz Operation in 65nm CMOS

A Modular All Digital PLL Architecture Enabling Both 1-to-2 GHz and 24-to 32-GHz Operation in 65nm CMOS A Modular All Digital PLL Architecture Enabling Both 1-to-2 GHz and 24-to 32-GHz Operation in 65nm CMOS A. V. Rylyakov 1, J. A. Tierno 1, D. Z. Turker 2, J.-O. Plouchart 1 H. A. Ainspan 1, D. J. Friedman

More information

THE phase-locked loop (PLL) is a very popular circuit component

THE phase-locked loop (PLL) is a very popular circuit component IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 4, APRIL 2005 941 A Background Optimization Method for PLL by Measuring Phase Jitter Performance Shiro Dosho, Member, IEEE, Naoshi Yanagisawa, and Akira

More information

A 10 Bit Low Power Current Steering Digital to Analog Converter Using 45 nm CMOS and GDI Logic

A 10 Bit Low Power Current Steering Digital to Analog Converter Using 45 nm CMOS and GDI Logic ISSN 2278 0211 (Online) A 10 Bit Low Power Current Steering Digital to Analog Converter Using 45 nm CMOS and GDI Logic Mehul P. Patel M. E. Student (Electronics & communication Engineering) C.U.Shah College

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

Optimization of Digitally Controlled Oscillator with Low Power

Optimization of Digitally Controlled Oscillator with Low Power IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. I (Nov -Dec. 2015), PP 52-57 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Optimization of Digitally Controlled

More information

An Ultra-Low-Power 15-bit Digitally Controlled Oscillator with High Resolution

An Ultra-Low-Power 15-bit Digitally Controlled Oscillator with High Resolution Journal of Emerging Trends in Engineering and Applied Sciences (JETEAS) 2 (1): 184-189 Scholarlink Research Institute Journals, 2011 (ISSN: 2141-7016) jeteas.scholarlinkresearch.org Journal of Emerging

More information

Publication [P3] By choosing to view this document, you agree to all provisions of the copyright laws protecting it.

Publication [P3] By choosing to view this document, you agree to all provisions of the copyright laws protecting it. Publication [P3] Copyright c 2006 IEEE. Reprinted, with permission, from Proceedings of IEEE International Solid-State Circuits Conference, Digest of Technical Papers, 5-9 Feb. 2006, pp. 488 489. This

More information

DESIGN AND ANALYSIS OF PHASE-LOCKED LOOP AND PERFORMANCE PARAMETERS

DESIGN AND ANALYSIS OF PHASE-LOCKED LOOP AND PERFORMANCE PARAMETERS DESIGN AND ANALYSIS OF PHASE-LOCKED LOOP AND PERFORMANCE PARAMETERS Nilesh D. Patel 1, Gunjankumar R. Modi 2, Priyesh P. Gandhi 3, Amisha P. Naik 4 1 Research Scholar, Institute of Technology, Nirma University,

More information

PAPER Low Pass Filter-Less Pulse Width Controlled PLL Using Time to Soft Thermometer Code Converter

PAPER Low Pass Filter-Less Pulse Width Controlled PLL Using Time to Soft Thermometer Code Converter 297 PAPER Low Pass Filter-Less Pulse Width Controlled PLL Using Time to Soft Thermometer Code Converter Toru NAKURA a) and Kunihiro ASADA, Members SUMMARY This paper demonstrates a pulse width controlled

More information

A Low-Noise Frequency Synthesizer for Infrastructure Applications

A Low-Noise Frequency Synthesizer for Infrastructure Applications A Low-Noise Frequency Synthesizer for Infrastructure Applications Shayan Farahvash, William Roberts, Jake Easter, Rachel Wei, Dave Stegmeir, Li Jin RFMD, USA Outline Motivation Design Challenges VCO Capacitor

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop Shaik. Yezazul Nishath School Of Electronics Engineering (SENSE) VIT University Chennai, India Abstract This paper outlines

More information

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS Diary R. Sulaiman e-mail: diariy@gmail.com Salahaddin University, Engineering College, Electrical Engineering Department Erbil, Iraq Key

More information

ISSCC 2001 / SESSION 23 / ANALOG TECHNIQUES / 23.2

ISSCC 2001 / SESSION 23 / ANALOG TECHNIQUES / 23.2 ISSCC 2001 / SESSION 23 / ANALOG TECHNIQUES / 23.2 23.2 Dynamically Biased 1MHz Low-pass Filter with 61dB Peak SNR and 112dB Input Range Nagendra Krishnapura, Yannis Tsividis Columbia University, New York,

More information

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver 3.1 INTRODUCTION As last chapter description, we know that there is a nonlinearity relationship between luminance

More information

A Low Power Switching Power Supply for Self-Clocked Systems 1. Gu-Yeon Wei and Mark Horowitz

A Low Power Switching Power Supply for Self-Clocked Systems 1. Gu-Yeon Wei and Mark Horowitz A Low Power Switching Power Supply for Self-Clocked Systems 1 Gu-Yeon Wei and Mark Horowitz Computer Systems Laboratory, Stanford University, CA 94305 Abstract - This paper presents a digital power supply

More information

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 10.8 10Gb/s Limiting Amplifier and Laser/Modulator Driver in 0.18µm CMOS Technology Sherif Galal, Behzad Razavi Electrical Engineering

More information

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck Synchronous Mirror Delays ECG 721 Memory Circuit Design Kevin Buck 11/25/2015 Introduction A synchronous mirror delay (SMD) is a type of clock generation circuit Unlike DLLs and PLLs an SMD is an open

More information

Analysis of phase Locked Loop using Ring Voltage Controlled Oscillator

Analysis of phase Locked Loop using Ring Voltage Controlled Oscillator Analysis of phase Locked Loop using Ring Voltage Controlled Oscillator Abhishek Mishra Department of electronics &communication, suresh gyan vihar university Mahal jagatpura, jaipur (raj.), india Abstract-There

More information

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology Ching-Che Chung 1a), Duo Sheng 2, and Sung-En Shen 1 1 Department of Computer Science & Information

More information

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition P. K. Rout, B. P. Panda, D. P. Acharya and G. Panda 1 Department of Electronics and Communication Engineering, School of Electrical

More information

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Engineering, Technology & Applied Science Research Vol. 7, No. 2, 2017, 1473-1477 1473 A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Hamidreza Esmaeili Taheri Department of Electronics

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

ISSCC 2006 / SESSION 33 / MOBILE TV / 33.4

ISSCC 2006 / SESSION 33 / MOBILE TV / 33.4 33.4 A Dual-Channel Direct-Conversion CMOS Receiver for Mobile Multimedia Broadcasting Vincenzo Peluso, Yang Xu, Peter Gazzerro, Yiwu Tang, Li Liu, Zhenbiao Li, Wei Xiong, Charles Persico Qualcomm, San

More information

Low Power Phase Locked Loop Design with Minimum Jitter

Low Power Phase Locked Loop Design with Minimum Jitter Low Power Phase Locked Loop Design with Minimum Jitter Krishna B. Makwana, Prof. Naresh Patel PG Student (VLSI Technology), Dept. of ECE, Vishwakarma Engineering College, Chandkheda, Gujarat, India Assistant

More information

Design of Sub-10-Picoseconds On-Chip Time Measurement Circuit

Design of Sub-10-Picoseconds On-Chip Time Measurement Circuit Design of Sub-0-Picoseconds On-Chip Time Measurement Circuit M.A.Abas, G.Russell, D.J.Kinniment Dept. of Electrical and Electronic Eng., University of Newcastle Upon Tyne, UK Abstract The rapid pace of

More information

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS Sang-Min Yoo, Jeffrey Walling, Eum Chan Woo, David Allstot University of Washington, Seattle, WA Submission Highlight A fully-integrated

More information

A fast lock-in all-digital phase-locked loop in 40-nm CMOS technology

A fast lock-in all-digital phase-locked loop in 40-nm CMOS technology LETTER IEICE Electronics Express, Vol.13, No.17, 1 10 A fast lock-in all-digital phase-locked loop in 40-nm CMOS technology Ching-Che Chung a) and Chi-Kuang Lo Department of Computer Science & Information

More information

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.4

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.4 ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.4 26.4 40Gb/s CMOS Distributed Amplifier for Fiber-Optic Communication Systems H. Shigematsu 1, M. Sato 1, T. Hirose 1, F. Brewer 2, M. Rodwell 2 1 Fujitsu,

More information

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements EE290C - Spring 04 Advanced Topics in Circuit Design High-Speed Electrical Interfaces Lecture 11 Components Phase-Locked Loops Viterbi Decoder Borivoje Nikolic March 2, 04. Announcements Homework #2 due

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation 196 LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation Ching-Yuan YANG a), Member and Jung-Mao LIN, Nonmember SUMMARY In this letter, a 1.25-Gb/s 0.18-µm

More information

A Performance Comparision of OTA Based VCO and Telescopic OTA Based VCO for PLL in 0.18um CMOS Process

A Performance Comparision of OTA Based VCO and Telescopic OTA Based VCO for PLL in 0.18um CMOS Process A Performance Comparision of OTA Based VCO and Telescopic OTA Based VCO for PLL in 0.18um CMOS Process Krishna B. Makwana Master in VLSI Technology, Dept. of ECE, Vishwakarma Enginnering College, Chandkheda,

More information

A Wide-Bandwidth 2.4GHz ISM Band Fractional-N PLL with Adaptive Phase Noise Cancellation. Outline

A Wide-Bandwidth 2.4GHz ISM Band Fractional-N PLL with Adaptive Phase Noise Cancellation. Outline A Wide-Bandwidth 2.4GHz ISM Band Fractional-N PLL with Adaptive Phase Noise Cancellation Ashok Swaminathan,2, Kevin J. Wang, Ian Galton University of California, San Diego, CA 2 NextWave Broadband, San

More information

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection Somnath Kundu 1, Bongjin Kim 1,2, Chris H. Kim 1 1

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 12: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report #2 due Apr. 20 Expand

More information

A Flying-Adder Architecture of Frequency and Phase Synthesis With Scalability

A Flying-Adder Architecture of Frequency and Phase Synthesis With Scalability IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 5, OCTOBER 2002 637 A Flying-Adder Architecture of Frequency and Phase Synthesis With Scalability Liming Xiu, Member, IEEE,

More information

Integrated Circuit Design for High-Speed Frequency Synthesis

Integrated Circuit Design for High-Speed Frequency Synthesis Integrated Circuit Design for High-Speed Frequency Synthesis John Rogers Calvin Plett Foster Dai ARTECH H O US E BOSTON LONDON artechhouse.com Preface XI CHAPTER 1 Introduction 1 1.1 Introduction to Frequency

More information

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 LECTURE 160 CDR EXAMPLES INTRODUCTION Objective The objective of this presentation is: 1.) Show two examples of clock and data recovery

More information

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY Neha Bakawale Departmentof Electronics & Instrumentation Engineering, Shri G. S. Institute of

More information

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.3

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.3 ISSCC 2003 / SESSION 10 / HIGH SPEE BUILING BLOCKS / PAPER 10.3 10.3 A 2.5 to 10GHz Clock Multiplier Unit with 0.22ps RMS Jitter in a 0.18µm CMOS Technology Remco C.H. van de Beek 1, Cicero S. Vaucher

More information

THE SELF-BIAS PLL IN STANDARD CMOS

THE SELF-BIAS PLL IN STANDARD CMOS THE SELF-BIAS PLL IN STANDAD CMOS Miljan Nikolić, Milan Savić, Predrag Petković Laboratory for Electronic Design Automation, Faculty of Electronic Engineering, University of Niš, Aleksandra Medvedeva 14.,

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 20.5 A 2.4GHz CMOS Transceiver and Baseband Processor Chipset for 802.11b Wireless LAN Application George Chien, Weishi Feng, Yungping

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN620: Network Theory Broadband Circuit Design Fall 2012 Lecture 20: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 2 is on Friday Nov. 9 One double-sided 8.5x11

More information

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.3, JUNE, 2014 http://dx.doi.org/10.5573/jsts.2014.14.3.331 A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

More information

NRZ DPLL CMOS Frequency Synthesizer Using Active PI Filter

NRZ DPLL CMOS Frequency Synthesizer Using Active PI Filter NRZ DPLL CMOS Frequency Synthesizer Using Active PI Filter Krishna Kant Singh 1, Akansha Mehrotra 2 Associate Professor, Electronics & Computer Engineering, Dronacharya College of Engineering, Gurgaon,

More information

A Frequency Synthesis of All Digital Phase Locked Loop

A Frequency Synthesis of All Digital Phase Locked Loop A Frequency Synthesis of All Digital Phase Locked Loop S.Saravanakumar 1, N.Kirthika 2 M.E.VLSI DESIGN Sri Ramakrishna Engineering College Coimbatore, Tamilnadu 1 s.saravanakumar21@gmail.com, 2 kirthi.com@gmail.com

More information

Acounter-basedall-digital spread-spectrum clock generatorwithhighemi reductionin65nmcmos

Acounter-basedall-digital spread-spectrum clock generatorwithhighemi reductionin65nmcmos LETTER IEICE Electronics Express, Vol.10, No.6, 1 6 Acounter-basedall-digital spread-spectrum clock generatorwithhighemi reductionin65nmcmos Ching-Che Chung 1a), Duo Sheng 2, and Wei-Da Ho 1 1 Department

More information

A DPLL-based per Core Variable Frequency Clock Generator for an Eight-Core POWER7 Microprocessor

A DPLL-based per Core Variable Frequency Clock Generator for an Eight-Core POWER7 Microprocessor A DPLL-based per Core Variable Frequency Clock Generator for an Eight-Core POWER7 Microprocessor José Tierno 1, A. Rylyakov 1, D. Friedman 1, A. Chen 2, A. Ciesla 2, T. Diemoz 2, G. English 2, D. Hui 2,

More information

To learn fundamentals of high speed I/O link equalization techniques.

To learn fundamentals of high speed I/O link equalization techniques. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab5 Equalization Circuits Objective To learn fundamentals of high speed I/O link equalization techniques. Introduction An ideal cable could propagate

More information

Design of a 3.3-V 1-GHz CMOS Phase Locked Loop with a Two-Stage Self-Feedback Ring Oscillator

Design of a 3.3-V 1-GHz CMOS Phase Locked Loop with a Two-Stage Self-Feedback Ring Oscillator Journal of the Korean Physical Society, Vol. 37, No. 6, December 2000, pp. 803 807 Design of a 3.3-V 1-GHz CMOS Phase Locked Loop with a Two-Stage Self-Feedback Ring Oscillator Yeon Kug Moon Korea Advanced

More information

Design and Analysis of a Portable High-Speed Clock Generator

Design and Analysis of a Portable High-Speed Clock Generator IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL. 48, NO. 4, APRIL 2001 367 Design and Analysis of a Portable High-Speed Clock Generator Terng-Yin Hsu, Chung-Cheng

More information

NEW WIRELESS applications are emerging where

NEW WIRELESS applications are emerging where IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 709 A Multiply-by-3 Coupled-Ring Oscillator for Low-Power Frequency Synthesis Shwetabh Verma, Member, IEEE, Junfeng Xu, and Thomas H. Lee,

More information

A Low Power Digitally Controlled Oscillator Using 0.18um Technology

A Low Power Digitally Controlled Oscillator Using 0.18um Technology A Low Power Digitally Controlled Oscillator Using 0.18um Technology R. C. Gurjar 1, Rupali Jarwal 2, Ulka Khire 3 1, 2,3 Microelectronics and VLSI Design, Electronics & Instrumentation Engineering department,

More information

Energy Efficient and High Speed Charge-Pump Phase Locked Loop

Energy Efficient and High Speed Charge-Pump Phase Locked Loop Energy Efficient and High Speed Charge-Pump Phase Locked Loop Sherin Mary Enosh M.Tech Student, Dept of Electronics and Communication, St. Joseph's College of Engineering and Technology, Palai, India.

More information

Sudatta Mohanty, Madhusmita Panda, Dr Ashis kumar Mal

Sudatta Mohanty, Madhusmita Panda, Dr Ashis kumar Mal International Journal of Scientific & Engineering Research, Volume 5, Issue 5, May-2014 45 Design and Performance Analysis of a Phase Locked Loop using Differential Voltage Controlled Oscillator Sudatta

More information

Assoc. Prof. Dr. Burak Kelleci

Assoc. Prof. Dr. Burak Kelleci DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING ANALOG-TO-DIGITAL AND DIGITAL- TO-ANALOG CONVERTERS Assoc. Prof. Dr. Burak Kelleci Fall 2018 OUTLINE Nyquist-Rate DAC Thermometer-Code Converter Hybrid

More information

Designing of Charge Pump for Fast-Locking and Low-Power PLL

Designing of Charge Pump for Fast-Locking and Low-Power PLL Designing of Charge Pump for Fast-Locking and Low-Power PLL Swati Kasht, Sanjay Jaiswal, Dheeraj Jain, Kumkum Verma, Arushi Somani Abstract The specific property of fast locking of PLL is required in many

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

SPT BIT, 100 MWPS TTL D/A CONVERTER

SPT BIT, 100 MWPS TTL D/A CONVERTER FEATURES 12-Bit, 100 MWPS digital-to-analog converter TTL compatibility Low power: 640 mw 1/2 LSB DNL 40 MHz multiplying bandwidth Industrial temperature range Superior performance over AD9713 Improved

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

A digital intensive clock recovery circuit for HF-Band active RFID tag

A digital intensive clock recovery circuit for HF-Band active RFID tag LETTER IEICE Electronics Express, Vol.11, No.7, 1 11 A digital intensive clock recovery circuit for HF-Band active RFID tag Sichen Yu, Zhonghan Shen, Xiaolu Liu, Huixiang Han, Xi Tan, Na Yan a), and Hao

More information

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 3, MARCH

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 3, MARCH IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 3, MARCH 2012 143 A Time-to-Digital Converter Based on a Multiphase Reference Clock and a Binary Counter With a Novel Sampling

More information

A Low-Power and Portable Spread Spectrum Clock Generator for SoC Applications

A Low-Power and Portable Spread Spectrum Clock Generator for SoC Applications IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 A Low-Power and Portable Spread Spectrum Clock Generator for SoC Applications Duo Sheng, Ching-Che Chung, and Chen-Yi Lee Abstract In

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 16: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project descriptions are posted on the website Preliminary

More information

DIGITALLY controlled and area-efficient calibration circuits

DIGITALLY controlled and area-efficient calibration circuits 246 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 5, MAY 2005 A Low-Voltage 10-Bit CMOS DAC in 0.01-mm 2 Die Area Brandon Greenley, Raymond Veith, Dong-Young Chang, and Un-Ku

More information

Research Article A Low-Power Digitally Controlled Oscillator for All Digital Phase-Locked Loops

Research Article A Low-Power Digitally Controlled Oscillator for All Digital Phase-Locked Loops VLSI Design Volume 200, Article ID 94670, pages doi:0.55/200/94670 Research Article A Low-Power Digitally Controlled Oscillator for All Digital Phase-Locked Loops Jun Zhao and Yong-Bin Kim Department of

More information

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter University of Pennsylvania ScholarlyCommons epartmental Papers (ESE) epartment of Electrical & Systems Engineering 7-1-2003 A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and

More information

Section 1. Fundamentals of DDS Technology

Section 1. Fundamentals of DDS Technology Section 1. Fundamentals of DDS Technology Overview Direct digital synthesis (DDS) is a technique for using digital data processing blocks as a means to generate a frequency- and phase-tunable output signal

More information

Design and implementation of LDPC decoder using time domain-ams processing

Design and implementation of LDPC decoder using time domain-ams processing 2015; 1(7): 271-276 ISSN Print: 2394-7500 ISSN Online: 2394-5869 Impact Factor: 5.2 IJAR 2015; 1(7): 271-276 www.allresearchjournal.com Received: 31-04-2015 Accepted: 01-06-2015 Shirisha S M Tech VLSI

More information