WITH the recent development of communication systems

Size: px
Start display at page:

Download "WITH the recent development of communication systems"

Transcription

1 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 58, NO. 9, SEPTEMBER A 12b 50 MS/s 21.6 mw 0.18 m CMOS ADC Maximally Sharing Capacitors and Op-Amps Kyung-Hoon Lee, Student Member, IEEE, Kwang-Soo Kim, and Seung-Hoon Lee, Member, IEEE Abstract A 12b 50 MS/s 0.18 m CMOS pipeline ADC is described. The proposed capacitor and operational amplifier (op-amp) sharing techniques merge the front-end sample-and-hold amplifier (SHA) and the first multiplying digital-to-analog converter (MDAC1) to achieve low power without an additional reset timing and a memory effect. The second and third MDACs share a single op-amp to reduce power consumption further. A shared op-amp of the merged SHA and MDAC1 controls properly the input trans-conductance for stability at each clock phase of holding and amplifying. The prototype ADC in a 0.18 m CMOS process demonstrates the measured differential and integral nonlinearities within 0.53 LSB and 2.09 LSB, respectively. The ADC shows a maximum signal-to-noise-and-distortion ratio of 60.6 db and a maximum spurious-free dynamic range of 69.4 db at 50 MS/s. The ADC with an active die area of 0.93 mm 2 consumes 21.6 mw at 50 MS/s and 1.8 V. Index Terms Analog-to-digital converter (ADC), capacitor sharing, CMOS, low power, op-amp sharing, memory effect, pipeline. I. INTRODUCTION WITH the recent development of communication systems and the increased multimedia information, high-quality display systems have been widely expanded to a variety of application areas such as education, entertainment, medical, military, and aerospace industries. Moreover, the trend of system-on-a-chip (SoC) based on advanced VLSI technologies has rapidly increased the demand for high performance analog-to-digital converters (ADCs) essential to the system interface. Particularly, the ADCs for the analog front-end of high-definition displays such as ultrasound vision systems, charge coupled devices, computed tomography scanners, and portable communication terminals require a resolution of 12b and a conversion rate of 50 MS/s level with low power and small chip area, simultaneously. Of various ADC architectures, the pipeline ADC has been commonly employed as one of the best candidates to meet the required specifications mentioned above [1] [13]. Meanwhile, many circuit techniques such as switched operational amplifier (op-amp), op-amp sharing, sample-and-hold amplifier (SHA)-free front-end, and capacitor Manuscript received June 25, 2010; revised October 26, 2010, January 04, 2011; accepted January 18, Date of publication March 17, 2011; date of current version September 14, This work was supported by the IDEC of KAIST, the Basic Science Research Program through the National Research Foundation (NRF) funded by the Ministry of Education, Science and Technology under Project , and the Ministry of Knowledge, Economy, Korea, under the University ITRC support program supervised by the National IT Industry Promotion Agency under Project NIPA-2010-C This paper was recommended by Associate Editor R. Lofti. The authors are with the Department of Electronic Engineering, Sogang University, Seoul , Korea ( kimks@sogang.ac.kr). Digital Object Identifier /TCSI sharing have been invented to reduce the power consumption of power-hungry interstage amplifiers [14] [25]. The switched op-amp technique saves power consumption by disconnecting a current path from a power supply during the nonamplifying phase of amplifiers [14] [16]. However, this technique has no benefit in area saving since the required number of op-amps remains the same. The on and off switching of the dc bias current also causes a transient overshoot or a phase margin variation which degrades signal settling behavior. On the other hand, the op-amp sharing scheme shares a single op-amp between two adjacent pipeline stages operating at complementary clock phases to reduce power and area [17] [19]. But, the extra signal-steering switches in analog signal paths introduce a finite series on-resistance, which degrades signal settling time. Moreover, the present sampled input can be affected by a residual charge from the previously sampled input in case the input summing nodes of op-amp are never reset. Power dissipation and die area can be reduced further by removing a front-end SHA employed for a wide input bandwidth [20] [23]. However, the input bandwidth of the SHA-less circuit is somewhat limited due to the aperture error caused by a different input sampling time between the first-stage multiplying digital-to-analog converter (MDAC1) and the first-stage flash ADC (FLASH1). The limited input bandwidth can be relaxed by careful design and layout skills considering a matched RC delay between two different critical signal paths [21], [22]. In a 16 b-resolution high-speed SHA-less pipeline ADC, the nonlinear kickback glitch energy due to a voltage difference between a new analog input connected to the MDAC1 and the previous reference voltage from the FLASH1 can degrade the whole ADC linearity significantly. The problem can be partly solved by an extra charge-clearing clock between the amplifying and sampling clock phases [23]. On the other hand, the capacitor sharing scheme shares the capacitors between two adjacent pipeline stages, which reduces a capacitive load of the residue op-amp of the previous stage and allows a high sampling rate without extra power consumption [24], [25]. However, the memory effect due to a previous charge remaining in the capacitors limits a maximum achievable resolution since the shared capacitors during amplification are directly reused for signal sampling without reset. An additional clock phase to reset the shared capacitors and the input node of op-amp reduces the memory effect, but the additional clock degrades the overall ADC sampling speed [24]. This work describes a 12b 50 MS/s pipeline ADC sharing capacitors and op-amps as maximum as possible without additional clock phases and memory effect. The front-end SHA and MDAC1 are merged to reduce power consumption by sharing capacitors and a single op-amp, simultaneously, while the /$ IEEE

2 2128 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 58, NO. 9, SEPTEMBER 2011 Fig. 2. SHA and MDAC1 configurations without capacitor sharing scheme. Fig. 1. Proposed 12b 50 MS/s 0.18 m CMOS ADC. second and third MDACs (MDAC2 and MDAC3) share a single op-amp to reduce power consumption further. The proposed capacitor sharing scheme based on two capacitor banks resets an unused capacitor bank without a separate reset timing. The shared op-amp with two NMOS input differential pairs resets the inactive input pair to overcome a conventional memory effect [26], [27], whereas the input trans-conductance,, of the shared op-amp is properly adjusted at each clock phase for stable operation. This paper is organized as follows. The proposed ADC architecture is discussed in Section II while Section III specifically describes the detailed circuit implementation. The measured results of the prototype ADC are summarized in Section IV. Finally, conclusion is given in Section V. II. PROPOSED ADC ARCHITECTURE The proposed 12b 50 MS/s ADC employs a 4-step pipeline architecture as shown in Fig. 1. The ADC consists of an input SHA, one 3 b and two 4 b MDACs, one 3 b and three 4 b flash ADCs, a digital correction logic (DCL) block with decimator, a clock generator, and on-chip current and voltage references. The input SHA and MDAC1 are merged as the SHADAC by sharing capacitors and a single op-amp, while the MDAC2 and MDAC3 share a single op-amp to reduce power consumption. The SHADAC employs a gate-bootstrapping technique in the input sampling network to reduce a sampling distortion at input frequencies exceeding the Nyquist rate [28]. III. CIRCUIT IMPLEMENTATION A. Proposed Capacitor Sharing Technique Recently many capacitor sharing techniques in ADCs have been developed to minimize power consumption and chip area [24], [25]. The configurations of the SHA and MDAC1 without any capacitor sharing scheme at each clock phase are shown in Fig. 2. In Fig. 2, the AS and AM are defined as the op-amps for the SHA and MDAC1 while the, and represents a digital code from the FLASH ADC1 and the output voltages of the MDAC1 and SHA, respectively. During the Q2 phase, the sampling capacitors of the MDAC1 become the load of the SHA. The circuits with two previously reported capacitor sharing schemes remove the sampling capacitors of the following stage as shown in Fig. 3, where the indicates the amplified residue voltage of the MDAC2. As a result, capacitive loads are Fig. 3. SHA and MDAC1 based on two previously reported capacitor sharing schemes: (a) with an extra reset phase [24]; (b) with two capacitor banks [25]. considerably reduced, which allows low-power consumption for a target speed compared to the conventional switched-capacitor topology. The capacitor sharing scheme in Fig. 3(a) requires an extra clock,, to remove a memory effect due to the previous charge remaining in the shared capacitors [24]. Thus, this scheme reduces the overall ADC conversion speed. On the other hand, the capacitor sharing scheme based on two capacitor banks in Fig. 3(b) resets an unused capacitor bank to remove a residual charge without an extra clock phase [25]. However, the shared op-amp is always active for a full clock cycle and the nonreset input node of op-amp can produce the undesired memory effect as observed in the circled area of Fig. 3(b) [29]. The proposed capacitor sharing scheme in this work uses two capacitor banks and a single op-amp with two separate NMOS input differential pairs simultaneously to remove an extra clock phase and a memory effect, as shown in Fig. 4. When one capacitor bank is used for holding or amplifying operation, the other unused capacitor bank is reset to remove the previous residual charge. The shared op-amp with two separate NMOS input pairs resets the inactive input pair alternately to overcome a memory effect [26], [27]. The memory effect related to the proposed capacitor sharing scheme is analyzed in detail in Appendix. Table I compares the proposed capacitor sharing scheme with the previously reported capacitor sharing schemes based on 1.5 b MDACs and a single-ended op-amp topology. Although

3 LEE et al.: A 12B 50 MS/S 21.6 MW 0.18 M CMOS ADC MAXIMALLY SHARING CAPACITORS AND OP-AMPS 2129 Fig. 4. SHA and MDAC1 with the proposed capacitor sharing technique. TABLE I COMPARISON OF TWO PREVIOUSLY REPORTED CAPACITOR SHARING SCHEMES AND THE PROPOSED CAPACITOR SHARING SCHEME Fig. 5. Merged SHA and MDAC1 to be represented as SHADAC. the actual load capacitance should include the parasitic capacitance and the series capacitance of the sampling capacitor and the gate capacitance of the preamp in the flash subranging ADC, these capacitances are much smaller than the feedback capacitor in the 1.5 b MDAC and therefore can be neglected for quick comparison in Table I. The proposed technique halves the required number of op-amps and the load capacitance compared to the conventional switched-capacitor based configuration without using any capacitor sharing technique. Moreover, the proposed scheme does not need an extra clock phase for resetting the shared capacitors and the input nodes of op-amp. The input referred kt/c noise of the proposed technique is the same except for the CCS [25]. Although the input referred kt/c noise of the CCS [25] is 60% of the others, input signals are sampled in two capacitor banks, alternately, as shown in Fig. 3(b), which can introduce a harmonic distortion observed in the double sampling technique [30]. The only demerit of the proposed capacitor sharing technique is extra capacitors for two capacitor banks. However, the active die area for the extra capacitors is mm, which occupies only 1% of the overall ADC size in this work. The proposed capacitor sharing technique based on two capacitor banks is somewhat similar to the double sampling technique [30] achieving a doubled sampling speed, while one of the main performance-limiting factors in the double sampling scheme is a timing mismatch between two different input signal paths. In the double sampling technique, analog input signals are independently sampled in two capacitor banks with separate sampling switches at each clock phase and the sampling instants are also different corresponding to each separate sampling clock phase, which can introduce severe harmonic distortion. Fig. 6. Timing diagram and operation of each circuit block in the SHADAC. On the other hand, the proposed capacitor sharing technique shares capacitors and op-amps between adjacent pipeline stages to reduce power consumption and chip area rather than to increase sampling speed. Furthermore, input signals are sampled on a single sampling capacitor,, at the sampling phase and transferred to two capacitor banks alternately. Therefore the proposed capacitor sharing technique does not have a timing mismatch problem as observed in the conventional double sampling technique. B. Proposed SHADAC Based on Two Capacitor Banks The proposed SHADAC, which merges the SHA and MDAC1, consists of two capacitor banks (C-bank X and C-bank Y), a single two-stage op-amp with two separate NMOS input differential pairs, and an input sampling network as shown in Fig. 5, where all the circuits are drawn in a single-ended version. A gate-bootstrapping circuit is employed in input sampling switches to obtain high accuracy and low distortion at input frequencies exceeding the Nyquist frequency by keeping the gate-source voltage of the sampling switches constant independently of the input signal level [28]. The clock signals for the proposed capacitor sharing scheme and the operations of the SHA, MDAC1, and two capacitor

4 2130 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 58, NO. 9, SEPTEMBER 2011 Fig. 7. SHADAC configurations at each clock phase. (a) Phase. (b) Phase. (c) Phase. (d) Phase. banks are detailed in Fig. 6. In this work, all of the timing clock signals are internally generated from a single master clock with simple digital logic gates. The configurations of the SHADAC corresponding to each clock phase are illustrated in Fig. 7, sequentially, from Phase to Phase. During the clock phase, an input signal,, is sampled on the sampling capacitor,, and the C-bank X is used for the residue amplification of the MDAC1 with an analog voltage,, corresponding to a digital code from the FLASH ADC1, while the unused C-bank Y is reset. During the clock phase, the sampled input signal in the sampling capacitor is transferred to the C-bank Y for the holding of the SHA and the sampling operation of the MDAC1, simultaneously, while the C-bank X used for amplification in the previous phase is reset for the next operation. During the clock phase, the next input signal is sampled in the sampling capacitor while the C-bank Y is used for the residue amplification of the MDAC1 and the unused C-bank X stays at the reset mode. During the clock phase, the C-bank Y used for amplification is reset and the sampled input signal is transferred to the C-bank X for the holding and sampling operations of the SHA and MDAC1, respectively. These operations are synchronized to the two nonoverlapped clock phases, Q1 and Q2, and therefore the proposed capacitor sharing technique does not need an extra clock phase to reset the shared capacitors. The proposed capacitor sharing technique based on two capacitor banks can suffer from device mismatch similar to channel mismatch commonly observed in the conventional time-interleaved multichannel ADCs [31], [32]. The device mismatch between two capacitor banks can be minimized with Fig. 8. Two capacitor banks of the interdigitated layout style to minimize device mismatch. the interdigitated layout alternately placing the unit capacitors of two banks with dummy capacitors at both ends, as shown in Fig. 8. The merged capacitor switching (MCS) scheme is also employed for a better capacitor matching accuracy [33]. The MCS technique halves the required number of unit capacitors by merging two unit capacitors to one while the unit capacitor size can be doubled, which improves the capacitor matching. In addition, all the unit capacitors are enclosed with the stacked metal lines to make the neighboring condition of each unit capacitor identical for high matching [34]. C. Trans-Conductance Controlled Op-Amp Sharing Technique The shared op-amp employed in the SHADAC is detailed in Fig. 9 with a corresponding timing diagram. The first-stage op-amp, AMP1, is based on a telescopic topology with three NMOS input differential pairs and three separate current paths while the second op-amp, AMP2, consists of a common-source topology with a stacked PMOS transistor to achieve a high dc

5 LEE et al.: A 12B 50 MS/S 21.6 MW 0.18 M CMOS ADC MAXIMALLY SHARING CAPACITORS AND OP-AMPS 2131 Fig. 9. g -controlled op-amp in the SHADAC: (a) during the holding mode of the SHA, (b) during the amplifying mode of the MDAC1, and (c) timing diagram. gain and a 1.5 output swing range at 1.8 V. Since the shared op-amp of the SHADAC is used continuously during both of the SHA and MDAC1 operations, the different loop gain and loading conditions of the shared op-amp need be considered at each clock phase. During Q1 when the op-amp is in the holding mode of the SHA, a feedback factor becomes 1/2 and a load capacitance is reduced since the feedback capacitor is used for the sampling capacitor of the MDAC1. On the other hand, during Q2, the MDAC1 drives the sampling capacitor of the MDAC2 as a load capacitance and amplifies a residue voltage with a feedback factor of 1/4. As a result, the shared op-amp needs to be optimized for proper bandwidth and stability at each clock phase. The proposed op-amp of the SHADAC employs an extra current path to adjust the trans-conductance of AMP1,, considering the required bandwidth, feedback factor, and phase margin. During the holding mode of the SHA, the extra current path reduces the bias current flowing into the input stage to 60% of I, as shown in Fig. 9(a), and thereby the is decreased to improve a phase margin for the SHA stability. On the other Fig. 10. Bandwidth and phase margin of the shared op-amp in the SHADAC : (a) without g -control and (b) with g -control technique. hand, during the amplifying mode of the MDAC1, the extra current path is inactive and all the bias current of I flows into the input stage to increase the and bandwidth for a proper MDAC1 operation as illustrated in Fig. 9(b). The proposed op-amp topology is analyzed as follows. The two-stage op-amp with a conventional Miller compensation has an open-loop gain,, defined as (1), where, and are the trans-conductance and output resistance of the first and second op-amp, respectively. The dominant and nondominant poles, and, are approximated like (2) and (3), respectively, where and are the load capacitance at the output and the compensation capacitance. When the op-amp has a feedback factor,, the corresponding loop bandwidth, db, and phase margin, PM, are approximated in (4) and (5), respectively (1) (2) (3)

6 2132 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 58, NO. 9, SEPTEMBER 2011 (4) (5) The bandwidth and phase margin of the shared two-stage op-amp in the SHADAC are illustrated in Fig. 10. When the op-amp is optimized for the MDAC1 without the control as shown in Fig. 10(a), the phase margin at the holding mode of the SHA is not sufficient due to the large feedback factor like (5). This can degrade the overall ADC performance. With the control of Fig. 10(b), the bandwidth and phase margin of the MDAC1 are not changed, while those of the SHA are improved due to the reduced. The reduced increases the phase margin but decreases the open-loop gain and loop bandwidth as summarized in (1), (4), and (5). Although the open-loop gain and loop bandwidth of op-amp are somewhat decreased due to the reduced, the op-amp of this work satisfies the target specification at the holding mode of the SHA. The smaller feedback factor of the SHA than that of the MDAC1 extends a loop bandwidth and the proposed capacitor sharing scheme reduces the load capacitance of the SHA considerably. The loop bandwidth and phase margin are traded off by controlling. The target specifications of the op-amp at the holding mode of the SHA are an open-loop gain of 72 db and a loop-bandwidth of 141 MHz while those of the op-amp at the amplifying mode of the MDAC1 are an open-loop gain of 72 db and a loop-bandwidth of 118 MHz, respectively. In this work, the simulated SHA shows an open-loop gain of 82 db and a loop bandwidth of 281 MHz with a phase margin of 67, while the simulated MDAC1 shows an open-loop gain of 86 db and a loop bandwidth of 212 MHz with a phase margin of 70, respectively, considering the design margin and settling behavior during transient simulations. On the other hand, the unit capacitance of two capacitor banks, and, in the SHADAC is 500 ff considering the thermal noise and matching accuracy. The simulated thermal noise with the reduced at the holding mode of the SHA is 61 uvrms. Considering only the kt/c and op-amp thermal noise, the maximum achievable SNR is about 76 db, thereby the reduced satisfies the target specification. The shared op-amp in the MDAC2 and MDAC3 is shown in Fig. 11 with a timing diagram. Since the MDAC2 and MDAC3 have the same feedback factor and similar characteristic, an extra current path to optimize is not necessary. In this work, the of AMP1 is properly controlled by changing the W/L size of input transistors based on the different loading and parasitic conditions at each amplifying mode. The unit capacitances of the MDAC2 and MDAC3 are 125 ff and 60 ff considering the thermal noise requirement, respectively. Two switches steering the input bias currents of AMP1 are turned on and off alternately with slightly overlapped clock phases, and, and Q1B and Q2B, as shown in Figs. 9 and 11, respectively. With the overlapped clock phases, the input bias currents of AMP1 flow continuously through a tail current mirror, whose gate is connected to a dynamic common-mode feedback voltage, CMFB1. The input signals of the next stage are sampled in the clock phases, Q1P and Q2P, just before Q1 and Q2 turn off, while the switching of Q1B and Q2B occurs in the nonoverlapped period of Q1 and Fig. 11. (a) Shared op-amp in MDAC2 and MDAC3 and (b) timing diagram. Fig. 12. Bias circuit for the telescopic op-amp insensitive to input commonmode voltage variations. Q2 in the switched-capacitor circuits. As a result, the switching of Q1B and Q2B does not affect the signal settling behavior in the amplifying phases, Q1 and Q2, while the glitch energy of the shared op-amp is reduced and the op-amp shows a relatively fast settling behavior compared with the nonoverlapped clock phases [27]. D. Bias Circuit for the Telescopic Op-Amp Insensitive to Input Common-Mode Voltage Variations The shared op-amp of Figs. 9 and 11 employs a telescopic amplifier topology in the first stage. A bias circuit for the telescopic amplifier is proposed to minimize the effect of input common-mode voltage variations, as shown in Fig. 12. Defining the input and output common-mode voltage of the amplifier as, the node voltages at T1, T2, and VB3 are - - -, and, respectively, while the saturation condition of transistors MN1 and MN2 is. On the other hand, the voltage of T3 from the gate voltage of a transistor M3b,, is - - and the drain voltage of a diode-connected transistor M2 becomes. By controlling a current properly, the proposed bias circuit enables all transistors of

7 LEE et al.: A 12B 50 MS/S 21.6 MW 0.18 M CMOS ADC MAXIMALLY SHARING CAPACITORS AND OP-AMPS 2133 Fig. 13. Latched comparator. Fig. 15. Measured DNL and INL. Fig. 14. Die photograph of the prototype ADC. the op-amp to operate in a saturation region regardless of input common-mode voltage variations. E. Comparator The comparator in the FLASH ADCs is shown in Fig. 13, which consists of an input stage, a preamp, and a latch. The sampling capacitor,, of 30 ff samples a reference voltage during the Q2 phase. During the next Q1 phase, the sampled reference voltage is compared to the input signal and the difference is amplified. Just before the low transition of Q1 phase, the latch turns on and performs a regeneration operation. The preamp prevents the latch offset and kickback effect from the latch to the input. IV. ADC IMPLEMETATION AND MEASUREMENTS The proposed 12b 50 MS/s prototype ADC is implemented in a 0.18 m CMOS technology as shown in Fig. 14. The ADC occupies an active die area of 0.93 mm including on-chip MOS decoupling capacitances of about 200 pf and dissipates 21.6 mw with on-chip voltage references consuming 4 mw at 50 MS/s and 1.8 V. In the proposed capacitor sharing technique, the sampled analog inputs are transferred to two capacitor banks, C-bank X and C-bank Y, and converted to the corresponding digital codes, alternately at each clock phase. If one clock phase is used for C-bank X, the other clock phase is always used only for C-bank Y. Therefore, the offset and capacitor mismatch between two capacitor banks can be easily analyzed and investigated by measuring the static and dynamic performance based on all of Fig. 16. Measured FFT spectrum (fs = 50 MHz, fin = 4 MHz). the sequentially captured digital outputs from two capacitor banks. The measured maximum differential nonlinearity (DNL) and integral nonlinearity (INL) of the prototype ADC using two capacitor banks are 0.53 LSB and 2.09 LSB, which are similar to the results using only C-bank X. On the other hand, the DNL and INL using only C-bank Y are 0.54 LSB and 2.34 LSB, as shown in Fig. 15. This means that there is little device mismatch between capacitors of the C-bank X and C-bank Y at a 12b level, although a maximum achievable accuracy of the unit capacitor with this 0.18 m CMOS process is one of the major INL performance-limiting factors. The typical FFT spectrum of the ADC measured with a 1.5 input sinusoidal signal of 4 MHz at 50 MS/s is plotted in Fig. 16. The ADC shows a similar FFT spectrum regardless of which capacitor bank is employed. The measured dynamic performance of the prototype ADC with a 1.5 input sinusoidal signal is summarized in Fig. 17. The signal-to-noise-and-distortion ratio (SNDR) and spuriousfree dynamic range (SFDR) in Fig. 17(a) are measured with different sampling frequencies up to 60 MHz at a 4 MHz input. The SNDR and SFDR are maintained over 60.6 db and 69.4 db up to 50 MS/s, respectively. The SNDR and the SFDR in

8 2134 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 58, NO. 9, SEPTEMBER 2011 TABLE II PERFORMANCE SUMMARY OF THE PROTOTYPE ADC Fig. 17. Measured SFDR and SNDR of the prototype ADC versus: (a) fs and (b) fin. Fig. 18. FoM comparison of recently reported 12b CMOS ADCs operating above 30 MS/s. Fig. 17(b) are measured with input frequencies increased up to 90 MHz at a sampling rate of 50 MS/s. The SNDR and SFDR are measured to be 58.0 db and 67.4 db, respectively, at the Nyquist rate input. With the input frequency up to 60 MHz, the SNDR and SFDR are maintained above 56.2 db and 66.5 db, respectively, while the SNDR and SFDR at input frequencies exceeding 90 MHz are degraded rapidly. The figure of merits (FoM), defined as (6), of the prototype ADC is 0.49 pj/conv-step including the power consumption of on-chip voltage references. The recently reported CMOS ADCs with a resolution of 12b and a sampling clock rate exceeding 30 MS/s are compared with the proposed ADC in Fig. 18 and the overall ADC performance is summarized in Table II (6) V. CONCLUSION This work proposes a 12b 50 MS/s pipeline ADC based on capacitor and op-amp sharing techniques for high-definition display applications. The front-end SHA and MDAC1 are merged into the SHADAC by sharing capacitors and a single op-amp without extra reset clock and memory effect, while the MDAC2 and MDAC3 share a single op-amp to reduce power consumption and chip area furthermore. The shared op-amp of the SHADAC controls the input properly with an additional current path for robust operation at each clock phase. The interdigitated layout scheme placing each unit capacitor in two capacitor banks alternately with a dummy capacitor at both ends minimizes device mismatch while all unit capacitors are surrounded by all the available interconnection metal lines for highly matched capacitor environment. The prototype ADC implemented in a 0.18 m CMOS demonstrates a measured DNL and INL within 0.53 LSB and 2.09 LSB, respectively. The ADC with an active die area of 0.93 mm shows a maximum SNDR and SFDR of 60.6 db and 69.4 db, respectively, and a power dissipation of 21.6 mw at 1.8 V and 50 MS/s. APPENDIX Memory effects occur due to the parasitic capacitance of the virtual ground node when op-amps are shared between adjacent stages in a pipeline ADC [29]. When the input summing node is always used without reset, the stored charge on the parasitic capacitance of the virtual ground node can change the output signal. However, in the proposed SHADAC, there is no memory effect during the holding operation of the SHA because the input summing node is reset at the sampling mode of the SHA. On the other hand, the error voltage caused by a memory effect affects the output voltage during the amplifying operation of the MDAC1, since the input summing node during the holding mode of the SHA is directly used during the amplifying operation of the MDAC1 without reset. In this Appendix, the output error voltage due to a memory effect during the amplifying operation of the MDAC1 in the proposed SHADAC is analyzed and compared with the inherent error voltage of the conventional 3 b MDAC1 with the same level of parasitic capacitance and finite open loop gain. The sampling and amplifying operations of the conventional 3 b MDAC1 are illustrated in Fig. 19, while the charge of an N-th input signal at the Q1 phase is expressed in (7) (7)

9 LEE et al.: A 12B 50 MS/S 21.6 MW 0.18 M CMOS ADC MAXIMALLY SHARING CAPACITORS AND OP-AMPS 2135 Fig. 19. Conventional 3 b MDAC1 configurations at each clock phase. It is assumed that the reference voltage applied to the capacitors at the Q2 amplifying mode of the MDAC1 is zero and there is no capacitor mismatch, since only the errors due to a memory effect are considered for simplicity of calculation. Then, the charge equation during Q2 is derived as (8) Fig. 20. Proposed SHADAC configurations at each clock phase. Using the charge conservation law, (10) and (11) are calculated as (12) (8) Since the total charge should be conserved, (7) and (8) are summarized as (9) As a result, the error factor of the conventional 3 b MDAC1 is at the Q2 amplifying phase. On the other hand, the operations based on the proposed SHADAC are illustrated in Fig. 20, where the N-th input signal sampled in the MDAC1 are stored in the feedback capacitors during the holding operation of the SHA. The charge of the N-th input signal during Q1 is expressed in (10) (9) (10) Assuming the reference voltage applied to the capacitors is zero for simplicity of calculation as mentioned above, the charge equation at the Q2 amplifying phase can be expressed as (11) (11) (12) As a result, the error factor of the SHADAC is at the amplifying phase. As illustrated in the analysis result of (9) and (12), the error factor due to a memory effect during the amplifying operation of the MDAC1 in the proposed SHADAC is smaller by approximately 20% than the error factor of the conventional MDAC1 based on the same parasitic capacitance and finite open loop gain. REFERENCES [1] J. Yuan, N. H. Farhat, and J. Van der Spiegel, Background calibration with piecewise linearized error model for CMOS pipeline A/D converter, IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 55, no. 1, pp , Feb [2] K. Chandrashekar, M. Corsi, J. Fattaruso, and B. Bakkaloglu, A 20-MS/s to 40-MS/s reconfigurable pipeline ADC implemented with parallel OTA scaling, IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 57, no. 8, pp , Aug [3] L. Brooks and H. S. Lee, A 12b, 50 MS/s, fully differential zerocrossing pipelined ADC, IEEE J. Solid-State Circuits, vol. 44, no. 12, pp , Dec [4] Y. J. Kim, H. C. Choi, G. C. Ahn, and S. H. Lee, A 12b 50 MS/s CMOS Nyquist A/D converter with a fully differential class-ab switched op-amp, IEEE J. Solid-State Circuits, vol. 45, no. 3, pp , Dec [5] H. Yu, S. W. Chin, and B. C. Wong, A 12b 50 Msps 34 mw pipelined ADC, in Proc. CICC, Sep. 2008, pp [6] J. Yuan, N. Farhat, and J. Van der Spiegel, A 50 MS/s 12-bit CMOS pipeline A/D converter with nonlinear background calibration, in Proc. CICC, Sep. 2005, pp [7] A. Shabra and H. S. Lee, A 12-bit mismatch-shaped pipeline A/D converter, in Symp. VLSI Circuits Dig. Tech. Papers, Jun. 2001, pp [8] H. Ploeg, G. Hoogzaad, H. Termeer, M. Vertregt, and R. Roovers, A 2.5 V 12b 54-Msample/s 0.25-um CMOS ADC in 1 mm with mixedsignal chopping and calibration, IEEE J. Solid-State Circuits, vol. 36, no. 12, pp , Dec [9] L. Singer, S. Ho, M. Timko, and D. Kelly, A 12b 65 Msample/s CMOS ADC with 82 db SFDR at 120 MHz, in ISSCC Dig. Tech Papers, Feb. 2000, pp

10 2136 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 58, NO. 9, SEPTEMBER 2011 [10] E. Iroaga and B. Murmann, A 12-bit 75-MS/s pipelined ADC using incomplete settling, IEEE J. Solid-State Circuits, vol. 42, no. 4, pp , Apr [11] B. Murmann and B. Boser, A 12-bit 75-MS/s pipelined ADC using open-loop residue amplification, IEEE J. Solid-State Circuits, vol. 38, no. 12, pp , Dec [12] A. Loloee, A. Zanchi, H. Jin, S. Shehata, and E. Bartolome, A 12b 80 Msps pipelined ADC core with 190 mw consumption from 3 V in 0.18 m digital CMOS, in Proc. ESSCIRC, Sep. 2002, pp [13] C. R. Grace, P. J. Hurst, and S. H. Lewis, A 12b 80 Msample/s pipelined ADC with bootstrapped digital calibration, IEEE J. Solid-State Circuits, vol. 40, no. 5, pp , May [14] M. Waltari and K. A. I. Halonen, 1-V 9-bit pipelined switched-opamp ADC, IEEE J. Solid-State Circuits, vol. 36, no. 1, pp , Jan [15] H. C. Kim, D. K. Jeong, and W. C. Kim, A partially switched-opamp technique for high-speed low-power pipelined analog-to-digital converters, IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 53, no. 4, pp , Apr [16] H. C. Choi, Y. J. Kim, K. H. Lee, Y. L. Kim, and S. H. Lee, A 10 b 25 MS/s 4.8 mw 0.13 m CMOS ADC for switched-bias power-reduction techniques, Int. J. Circuit Theory Appl., vol. 37, pp , Nov [17] K. Nagaraj, H. S. Fetterman, J. Anidjar, S. H. Lewis, and R. G. Renninger, A 250-mW, 8-b, 52-Msamples/s parallel-pipelined A/D converter with reduced number of amplifiers, IEEE J. Solid-State Circuits, vol. 32, no. 3, pp , Mar [18] J. Li, X. Zeng, L. Xie, J. Chen, J. Zhang, and Y. Guo, A 1.8-V 22-mW 10-bit 30-MS/s pipelined ADC for low-power subsampling applications, IEEE J. Solid-State Circuits, vol. 43, no. 2, pp , Feb [19] B. M. Min, P. Kim, D. M. Boisvert, and A. J. Aude, A 69-mW 10-bit 80-Msample/s pipelined CMOS ADC, IEEE J. Solid-State Circuits, vol. 38, no. 12, pp , Dec [20] I. Mehr and L. Singer, A 55-mW 10-bit 40-Msample/s Nyquist-rate CMOS ADC, IEEE J. Solid-State Circuits, vol. 35, no. 3, pp , Mar [21] Y. D. Jeon, S. C. Lee, K. D. Kim, J. K. Kwon, and J. Kim, A 4.7 mw 0.32 mm 10 b 30 MS/s pipelined ADC without a front-end S/H in 90 nm CMOS, in ISSCC Dig. Tech. Papers, Feb. 2007, pp [22] D. Y. Chang, Design technique for a pipelined ADC without using a front-end sample-and-hold amplifier, IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 51, no. 11, pp , Nov [23] S. Devarajan, L. Singer, D. Kelly, S. Decker, A. Kamath, and P. Wilkins, A 16-bit, 125 MS/s, 385 mw, 78.7 db SNR CMOS pipeline ADC, IEEE J. Solid-State Circuits, vol. 44, no. 12, pp , Dec [24] B. G. Lee, B. M. Min, G. Manganaro, and J. W. Valvano, A 14-b 100-MS/s pipelined ADC with a merged SHA and first MDAC, IEEE J. Solid-State Circuits, vol. 43, no. 12, pp , Dec [25] N. Sasidhar et al., A low power pipelined ADC using capacitor and opamp sharing technique with a scheme to cancel the effect of signal dependent kickback, IEEE J. Solid-State Circuits, vol. 44, no. 9, pp , Sep [26] S. T. Ryu, B. S. Song, and K. Bacrania, A 10-bit 50-MS/s pipelined ADC with opamp current reuse, IEEE J. Solid-State Circuits, vol. 42, no. 3, pp , Mar [27] K. H. Lee, S. W. Lee, Y. J. Kim, K. S. Kim, and S. H. Lee, Ten-bit 100 MS/s 24.2 mw 0.8 mm 0.18 m CMOS pipeline ADC based on maximal circuit sharing schemes, Electron Lett., vol. 45, no. 25, pp , Dec [28] A. M. Abo and P. R. Gray, A 1.5-V, 10-bit, 14.3-MS/s CMOS pipeline analog-to-digital converter, IEEE J. Solid-State Circuits, vol. 34, no. 5, pp , May [29] J. P. Keane, P. J. Hurst, and S. H. Lewis, Digital background calibration for memory effects in pipelined analog-to-digital converters, IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 53, no. 3, pp , Mar [30] M. Waltari and K. Halonen, A 220-MSample/s CMOS sample-andhold circuit using double-sampling, Analog Integr. Circuits Signal Process., vol. 18, no. 18, pp , Jan [31] N. Kurosawa, H. Kobayashi, K. Maruyama, H. Sugawara, and K. Kobayashi, Explicit analysis of channel mismatch effects in time-interleaved ADC systems, IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 48, no. 3, pp , Mar [32] H. C. Choi, Y. J. Kim, G. C. Ahn, and S. H. Lee, A 1.2-V 12-b 120-MS/s SHA-free dual-channel Nyquist ADC based on midcode calibration, IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 56, no. 5, pp , May [33] S. M. Yoo, T. H. Oh, J. W. Moon, S. H. Lee, and U. K. Moon, A 2.5 V 10 b 120 MSample/s CMOS pipelined ADC with high SFDR, in Proc. CICC, Sep. 2002, pp [34] Y. J. Cho, K. H. Lee, H. C. Choi, S. H. Lee, K. H. Moon, and J. W. Kim, A calibration-free 14 b 70 MS/s 3.3 mm 235 mw 0.13 m CMOS pipeline ADC with high-matching 3-D symmetric capacitors, in Proc. CICC, Sep. 2006, pp Kyung-Hoon Lee received the B.S., M.S., and Ph.D. degrees in electronics engineering from Sogang University, Seoul, Korea, in 2004, 2006, and 2011, respectively. He is currently a Senior Engineer at Samsung Electronics, Korea. His current interests are in the design of high-resolution low-power CMOS data converters and very high-speed mixed-mode integrated systems. Kwang-Soo Kim received the B.S., M.S., and Ph.D. degrees in electronic engineering from Sogang University, Seoul, Korea, in 1981, 1983, and 1992, respectively. From 1982 to 1998 he was with the Electronics and Telecommunications Research Institute, working on silicon devices (CMOS, bipolar, and BiCMOS). From 1988 to 1992, he carried out his Ph.D. dissertation at Sogang on the high speed and high density BiCMOS device. From 1999 to 2005 he was Principal Research Engineer with IITA where he planned new component technology about information and communication technology of Korea. From 2005 to 2008 he was a Principal Research Engineer with DGIST, where he conducted research on IT convergence technology for intelligent vehicles. He joined Sogang University in Now, he is a Professor of Electrical Engineering in the Department of Sogang Institute of Advanced Technology at Sogang University. His current research interests focus on the technology, modeling, and reliability of sensors (pressure, acceleration and thermal sensors). He is also active in studying the technology of sensor interface circuits. Seung-Hoon Lee received the B.S. and M.S. degrees with honors in electronic engineering from Seoul National University, Seoul, Korea, in 1984 and 1986, respectively, and the Ph.D. degree in electrical and computer engineering from the University of Illinois, Urbana-Champaign, in From 1990 to 1993, he was with Analog Devices Semiconductor, Wilmington, MA, as a Senior Design Engineer. Since 1993, he has been with the Department of Electronic Engineering, Sogang University, Seoul, Korea, where he is now a Professor. He has served as the chief editor of the IEEK Journal of Semiconductor Devices, Circuits, and Systems and a TPC member of many international and domestic conferences including the IEEE Symposium on VLSI Circuits. His current interest is in the design and testing of high-resolution high-speed CMOS data converters, CMOS communication circuits, integrated sensors, and mixed-mode integrated systems.

RECENTLY, low-voltage and low-power circuit design

RECENTLY, low-voltage and low-power circuit design IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 4, APRIL 2008 319 A Programmable 0.8-V 10-bit 60-MS/s 19.2-mW 0.13-m CMOS ADC Operating Down to 0.5 V Hee-Cheol Choi, Young-Ju

More information

620 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 3, MARCH /$ IEEE

620 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 3, MARCH /$ IEEE 620 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 3, MARCH 2010 A 12 bit 50 MS/s CMOS Nyquist A/D Converter With a Fully Differential Class-AB Switched Op-Amp Young-Ju Kim, Hee-Cheol Choi, Gil-Cho

More information

/$ IEEE

/$ IEEE 894 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 56, NO. 5, MAY 2009 A 1.2-V 12-b 120-MS/s SHA-Free Dual-Channel Nyquist ADC Based on Midcode Calibration Hee-Cheol Choi, Young-Ju Kim,

More information

PAPER A Single Amplifier-Based 12-bit 100 MS/s 1V19mW0.13µm CMOS ADC with Various Power and Area Minimized Circuit Techniques

PAPER A Single Amplifier-Based 12-bit 100 MS/s 1V19mW0.13µm CMOS ADC with Various Power and Area Minimized Circuit Techniques 1282 PAPER A Single Amplifier-Based 12-bit 100 MS/s 1V19mW0.13µm CMOS ADC with Various Power and Area Minimized Circuit Techniques Byeong-Woo KOO, Member, Seung-Jae PARK, Gil-Cho AHN, and Seung-Hoon LEE

More information

A Rail-to-Rail Input 12b 2 MS/s 0.18 µm CMOS Cyclic ADC for Touch Screen Applications

A Rail-to-Rail Input 12b 2 MS/s 0.18 µm CMOS Cyclic ADC for Touch Screen Applications 160 HEE-CHEOL CHOI et al : A RAIL-TO-RAIL INPUT 12B 2 MS/S 0.18 µm CMOS CYCLIC ADC FOR TOUCH SCREEN APPLICATIONS A Rail-to-Rail Input 12b 2 MS/s 0.18 µm CMOS Cyclic ADC for Touch Screen Applications Hee-Cheol

More information

2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS

2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS 2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS November 30 - December 3, 2008 Venetian Macao Resort-Hotel Macao, China IEEE Catalog Number: CFP08APC-USB ISBN: 978-1-4244-2342-2 Library of Congress:

More information

A 12b 100 MS/s Three-Step Hybrid Pipeline ADC Based on Time-Interleaved SAR ADCs

A 12b 100 MS/s Three-Step Hybrid Pipeline ADC Based on Time-Interleaved SAR ADCs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.2, APRIL, 2014 http://dx.doi.org/10.5573/jsts.2014.14.2.189 A 12b 100 MS/s Three-Step Hybrid ADC Based on Time-Interleaved SAR ADCs Jun-Sang

More information

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 25.4 A 1.8V 14b 10MS/s Pipelined ADC in 0.18µm CMOS with 99dB SFDR Yun Chiu, Paul R. Gray, Borivoje Nikolic University of California, Berkeley,

More information

A Multichannel Pipeline Analog-to-Digital Converter for an Integrated 3-D Ultrasound Imaging System

A Multichannel Pipeline Analog-to-Digital Converter for an Integrated 3-D Ultrasound Imaging System 1266 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 7, JULY 2003 A Multichannel Pipeline Analog-to-Digital Converter for an Integrated 3-D Ultrasound Imaging System Kambiz Kaviani, Student Member,

More information

THE pipelined ADC architecture has been adopted into

THE pipelined ADC architecture has been adopted into 1468 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 A 1.8-V 67-mW 10-bit 100-MS/s Pipelined ADC Using Time-Shifted CDS Technique Jipeng Li, Member, IEEE, and Un-Ku Moon, Senior Member,

More information

A Two-channel 10b 160 MS/s 28 nm CMOS Asynchronous Pipelined-SAR ADC with Low Channel Mismatch

A Two-channel 10b 160 MS/s 28 nm CMOS Asynchronous Pipelined-SAR ADC with Low Channel Mismatch JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.5, OCTOBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.5.636 ISSN(Online) 2233-4866 A Two-channel 10b 160 MS/s 28 nm CMOS

More information

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER M. Taherzadeh-Sani, R. Lotfi, and O. Shoaei ABSTRACT A novel class-ab architecture for single-stage operational amplifiers is presented. The structure

More information

1.5 bit-per-stage 8-bit Pipelined CMOS A/D Converter for Neuromophic Vision Processor

1.5 bit-per-stage 8-bit Pipelined CMOS A/D Converter for Neuromophic Vision Processor 1.5 bit-per-stage 8-bit Pipelined CMOS A/D Converter for Neuromophic Vision Processor Yilei Li, Li Du 09212020027@fudan.edu.cn Abstract- Neuromorphic vision processor is an electronic implementation of

More information

A 35 fj 10b 160 MS/s Pipelined- SAR ADC with Decoupled Flip- Around MDAC and Self- Embedded Offset Cancellation

A 35 fj 10b 160 MS/s Pipelined- SAR ADC with Decoupled Flip- Around MDAC and Self- Embedded Offset Cancellation Y. Zu, C.- H. Chan, S.- W. Sin, S.- P. U, R.P. Martins, F. Maloberti: "A 35 fj 10b 160 MS/s Pipelined-SAR ADC with Decoupled Flip-Around MDAC and Self- Embedded Offset Cancellation"; IEEE Asian Solid-

More information

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier Hyunui Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline Background Body voltage controlled

More information

A SAR-Assisted Two-Stage Pipeline ADC Chun C. Lee, Member, IEEE, and Michael P. Flynn, Senior Member, IEEE

A SAR-Assisted Two-Stage Pipeline ADC Chun C. Lee, Member, IEEE, and Michael P. Flynn, Senior Member, IEEE IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 46, NO. 4, APRIL 2011 859 A SAR-Assisted Two-Stage Pipeline ADC Chun C. Lee, Member, IEEE, and Michael P. Flynn, Senior Member, IEEE Abstract Successive approximation

More information

A 2.5 V 109 db DR ADC for Audio Application

A 2.5 V 109 db DR ADC for Audio Application 276 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.10, NO.4, DECEMBER, 2010 A 2.5 V 109 db DR ADC for Audio Application Gwangyol Noh and Gil-Cho Ahn Abstract A 2.5 V feed-forward second-order deltasigma

More information

Pipelined Analog-to-Digital Converters

Pipelined Analog-to-Digital Converters Department of Electrical and Computer Engineering Pipelined Analog-to-Digital Converters Vishal Saxena Vishal Saxena -1- Multi-Step A/D Conversion Basics Vishal Saxena -2-2 Motivation for Multi-Step Converters

More information

A Unity Gain Fully-Differential 10bit and 40MSps Sample-And-Hold Amplifier in 0.18μm CMOS

A Unity Gain Fully-Differential 10bit and 40MSps Sample-And-Hold Amplifier in 0.18μm CMOS A Unity Gain Fully-Differential 0bit and 40MSps Sample-And-Hold Amplifier in 0.8μm CMOS Sanaz Haddadian, and Rahele Hedayati Abstract A 0bit, 40 MSps, sample and hold, implemented in 0.8-μm CMOS technology

More information

CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE

CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE 3.1 INTRODUCTION An ADC is a device which converts a continuous quantity into discrete digital signal. Among its types, pipelined

More information

A Low-Power 6-b Integrating-Pipeline Hybrid Analog-to-Digital Converter

A Low-Power 6-b Integrating-Pipeline Hybrid Analog-to-Digital Converter A Low-Power 6-b Integrating-Pipeline Hybrid Analog-to-Digital Converter Quentin Diduck, Martin Margala * Electrical and Computer Engineering Department 526 Computer Studies Bldg., PO Box 270231 University

More information

A b dual-mode low-noise pipeline ADC for high-end CMOS image sensors

A b dual-mode low-noise pipeline ADC for high-end CMOS image sensors Analog Integr Circ Sig Process (2014) 80:437 447 DOI 10.1007/s10470-014-0356-3 A 14 10 b dual-mode low-noise pipeline ADC for high-end CMOS image sensors Suk-Hee Cho Jun-Sang Park Gil-Cho Ahn Seung-Hoon

More information

NOWADAYS, multistage amplifiers are growing in demand

NOWADAYS, multistage amplifiers are growing in demand 1690 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 51, NO. 9, SEPTEMBER 2004 Advances in Active-Feedback Frequency Compensation With Power Optimization and Transient Improvement Hoi

More information

PAPER A 10 b 200 MS/s1.8mm 2 83 mw 0.13 µm CMOS ADC Based on Highly Linear Integrated Capacitors

PAPER A 10 b 200 MS/s1.8mm 2 83 mw 0.13 µm CMOS ADC Based on Highly Linear Integrated Capacitors IEICE TRANS. ELECTRON., VOL.E90 C, NO.10 OCTOBER 2007 2037 PAPER A 10 b 200 MS/s1.8mm 2 83 mw 0.13 µm CMOS ADC Based on Highly Linear Integrated Capacitors Young-Ju KIM, Young-Jae CHO, Members, Doo-Hwan

More information

EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s

EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s B.Padmavathi, ME (VLSI Design), Anand Institute of Higher Technology, Chennai, India krishypadma@gmail.com Abstract In electronics, a comparator

More information

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY Neha Bakawale Departmentof Electronics & Instrumentation Engineering, Shri G. S. Institute of

More information

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.2, APRIL, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.2.281 ISSN(Online) 2233-4866 A 4b/cycle Flash-assisted SAR ADC with

More information

A Two- Bit- per- Cycle Successive- Approximation ADC with Background Offset Calibration

A Two- Bit- per- Cycle Successive- Approximation ADC with Background Offset Calibration M. Casubolo, M. Grassi, A. Lombardi, F. Maloberti, P. Malcovati: "A Two-Bit-per- Cycle Successive-Approximation ADC with Background Calibration"; 15th IEEE Int. Conf. on Electronics, Circuits and Systems,

More information

Design of Pipeline Analog to Digital Converter

Design of Pipeline Analog to Digital Converter Design of Pipeline Analog to Digital Converter Vivek Tripathi, Chandrajit Debnath, Rakesh Malik STMicroelectronics The pipeline analog-to-digital converter (ADC) architecture is the most popular topology

More information

IN RECENT years, low-dropout linear regulators (LDOs) are

IN RECENT years, low-dropout linear regulators (LDOs) are IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 9, SEPTEMBER 2005 563 Design of Low-Power Analog Drivers Based on Slew-Rate Enhancement Circuits for CMOS Low-Dropout Regulators

More information

A NOVEL MDAC SUITABLE FOR A 14B, 120MS/S ADC, USING A NEW FOLDED CASCODE OP-AMP

A NOVEL MDAC SUITABLE FOR A 14B, 120MS/S ADC, USING A NEW FOLDED CASCODE OP-AMP A NOVEL MDAC SUITABLE FOR A 14B, 120MS/S ADC, USING A NEW FOLDED CASCODE OP-AMP Noushin Ghaderi 1, Khayrollah Hadidi 2 and Bahar Barani 3 1 Faculty of Engineering, Shahrekord University, Shahrekord, Iran

More information

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 4 (April 2014), PP.01-06 Design of Low Power High Speed Fully Dynamic

More information

Pipelined Analog-to-Digital converter (ADC)

Pipelined Analog-to-Digital converter (ADC) Analog Integr Circ Sig Process (2012) 63:495 501 DOI 10.1007/s10470-010-9453-0 MIXED SIGNAL LETTER Pipelined Analog-to-Digital converter (ADC) Mingjun Fan Junyan Ren Ning Li Fan Ye Jun Xu Abstract A set

More information

PAPER A 12 b 200 ks/s 0.52 ma 0.47 mm 2 Algorithmic A/D Converter for MEMS Applications

PAPER A 12 b 200 ks/s 0.52 ma 0.47 mm 2 Algorithmic A/D Converter for MEMS Applications 206 PAPER A 12 b 200 ks/s 0.52 ma 0.47 mm 2 Algorithmic A/D Converter for MEMS Applications Young-Ju KIM, Hee-Cheol CHOI, Members, Seung-Hoon LEE a), and Dongil Dan CHO, Nonmembers SUMMARY This work describes

More information

THE comparison is the basic operation in an analog-to-digital

THE comparison is the basic operation in an analog-to-digital IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 7, JULY 2006 541 Kickback Noise Reduction Techniques for CMOS Latched Comparators Pedro M. Figueiredo, Member, IEEE, and João

More information

Low Power and Fast Transient High Swing CMOS Telescopic Operational Amplifier

Low Power and Fast Transient High Swing CMOS Telescopic Operational Amplifier RESEARCH ARTICLE OPEN ACCESS Low Power and Fast Transient High Swing CMOS Telescopic Operational Amplifier Akshay Kumar Kansal 1, Asst Prof. Gayatri Sakya 2 Electronics and Communication Department, 1,2

More information

Proposing. An Interpolated Pipeline ADC

Proposing. An Interpolated Pipeline ADC Proposing An Interpolated Pipeline ADC Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Lab. Background 38GHz long range mm-wave system Role of long range mm-wave Current Optical

More information

Publication [P3] By choosing to view this document, you agree to all provisions of the copyright laws protecting it.

Publication [P3] By choosing to view this document, you agree to all provisions of the copyright laws protecting it. Publication [P3] Copyright c 2006 IEEE. Reprinted, with permission, from Proceedings of IEEE International Solid-State Circuits Conference, Digest of Technical Papers, 5-9 Feb. 2006, pp. 488 489. This

More information

A low-variation on-resistance CMOS sampling switch for high-speed high-performance applications

A low-variation on-resistance CMOS sampling switch for high-speed high-performance applications A low-variation on-resistance CMOS sampling switch for high-speed high-performance applications MohammadReza Asgari 1 and Omid Hashemipour 2a) 1 Microelectronic Lab, Shahid Beheshti University, G. C. Tehran,

More information

CAPACITOR mismatch is a major source of missing codes

CAPACITOR mismatch is a major source of missing codes 1626 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 7, JULY 2008 An 11-Bit 45 MS/s Pipelined ADC With Rapid Calibration of DAC Errors in a Multibit Pipeline Stage Imran Ahmed, Student Member, IEEE,

More information

A new class AB folded-cascode operational amplifier

A new class AB folded-cascode operational amplifier A new class AB folded-cascode operational amplifier Mohammad Yavari a) Integrated Circuits Design Laboratory, Department of Electrical Engineering, Amirkabir University of Technology, Tehran, Iran a) myavari@aut.ac.ir

More information

A CMOS Analog Front-End for Driving a High-Speed SAR ADC in Low-Power Ultrasound Imaging Systems

A CMOS Analog Front-End for Driving a High-Speed SAR ADC in Low-Power Ultrasound Imaging Systems A CMOS Analog Front-End for Driving a High-Speed SAR ADC in Low-Power Ultrasound Imaging Systems Taehoon Kim, Han Yang, Sangmin Shin, Hyongmin Lee and Suhwan Kim Electrical and Computer Engineering and

More information

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth LETTER IEICE Electronics Express, Vol.11, No.2, 1 9 A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth Mingshuo Wang a), Fan Ye, Wei Li, and Junyan Ren b) State Key Laboratory

More information

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3 ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3 25.3 A 96dB SFDR 50MS/s Digitally Enhanced CMOS Pipeline A/D Converter K. Nair, R. Harjani University of Minnesota, Minneapolis, MN Analog-to-digital

More information

Index terms: Analog to Digital conversion, capacitor sharing, high speed OPAMP-sharing pipelined analog to digital convertor, Low power.

Index terms: Analog to Digital conversion, capacitor sharing, high speed OPAMP-sharing pipelined analog to digital convertor, Low power. Pipeline ADC using Switched Capacitor Sharing Technique with 2.5 V, 10-bit Ankit Jain Dept. of Electronics and Communication, Indore Institute of Science & Technology, Indore, India Abstract: This paper

More information

STATE-OF-THE-ART read channels in high-performance

STATE-OF-THE-ART read channels in high-performance 258 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 42, NO. 2, FEBRUARY 2007 A 6-bit 800-MS/s Pipelined A/D Converter With Open-Loop Amplifiers Ding-Lan Shen, Student Member, IEEE, and Tai-Cheng Lee, Member,

More information

Two- Path Band- Pass Σ- Δ Modulator with 40- MHz IF 72- db DR at 1- MHz Bandwidth Consuming 16 mw

Two- Path Band- Pass Σ- Δ Modulator with 40- MHz IF 72- db DR at 1- MHz Bandwidth Consuming 16 mw I. Galdi, E. Bonizzoni, F. Maloberti, G. Manganaro, P. Malcovati: "Two-Path Band- Pass Σ-Δ Modulator with 40-MHz IF 72-dB DR at 1-MHz Bandwidth Consuming 16 mw"; 33rd European Solid State Circuits Conf.,

More information

THE USE of multibit quantizers in oversampling analogto-digital

THE USE of multibit quantizers in oversampling analogto-digital 966 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 12, DECEMBER 2010 A New DAC Mismatch Shaping Technique for Sigma Delta Modulators Mohamed Aboudina, Member, IEEE, and Behzad

More information

THE TREND toward implementing systems with low

THE TREND toward implementing systems with low 724 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 7, JULY 1995 Design of a 100-MHz 10-mW 3-V Sample-and-Hold Amplifier in Digital Bipolar Technology Behzad Razavi, Member, IEEE Abstract This paper

More information

A new structure of substage in pipelined analog-to-digital converters

A new structure of substage in pipelined analog-to-digital converters February 2009, 16(1): 86 90 www.sciencedirect.com/science/journal/10058885 The Journal of China Universities of Posts and Telecommunications www.buptjournal.cn/xben new structure of substage in pipelined

More information

A design of 16-bit adiabatic Microprocessor core

A design of 16-bit adiabatic Microprocessor core 194 A design of 16-bit adiabatic Microprocessor core Youngjoon Shin, Hanseung Lee, Yong Moon, and Chanho Lee Abstract A 16-bit adiabatic low-power Microprocessor core is designed. The processor consists

More information

A 10 bit, 1.8 GS/s Time Interleaved Pipeline ADC

A 10 bit, 1.8 GS/s Time Interleaved Pipeline ADC A 10 bit, 1.8 GS/s Time Interleaved Pipeline ADC M. Åberg 2, A. Rantala 2, V. Hakkarainen 1, M. Aho 1, J. Riikonen 1, D. Gomes Martin 2, K. Halonen 1 1 Electronic Circuit Design Laboratory Helsinki University

More information

EE247 Lecture 23. Advanced calibration techniques. Compensating inter-stage amplifier non-linearity Calibration via parallel & slow ADC

EE247 Lecture 23. Advanced calibration techniques. Compensating inter-stage amplifier non-linearity Calibration via parallel & slow ADC EE247 Lecture 23 Pipelined ADCs Combining the bits Stage implementation Circuits Noise budgeting Advanced calibration techniques Compensating inter-stage amplifier non-linearity Calibration via parallel

More information

Second-Order Sigma-Delta Modulator in Standard CMOS Technology

Second-Order Sigma-Delta Modulator in Standard CMOS Technology SERBIAN JOURNAL OF ELECTRICAL ENGINEERING Vol. 1, No. 3, November 2004, 37-44 Second-Order Sigma-Delta Modulator in Standard CMOS Technology Dragiša Milovanović 1, Milan Savić 1, Miljan Nikolić 1 Abstract:

More information

A Successive Approximation ADC based on a new Segmented DAC

A Successive Approximation ADC based on a new Segmented DAC A Successive Approximation ADC based on a new Segmented DAC segmented current-mode DAC successive approximation ADC bi-direction segmented current-mode DAC DAC INL 0.47 LSB DNL 0.154 LSB DAC 3V 8 2MS/s

More information

DAT175: Topics in Electronic System Design

DAT175: Topics in Electronic System Design DAT175: Topics in Electronic System Design Analog Readout Circuitry for Hearing Aid in STM90nm 21 February 2010 Remzi Yagiz Mungan v1.10 1. Introduction In this project, the aim is to design an adjustable

More information

A 10-Bit 500-MS/s 55-mW CMOS ADC Ashutosh Verma, Member, IEEE, and Behzad Razavi, Fellow, IEEE

A 10-Bit 500-MS/s 55-mW CMOS ADC Ashutosh Verma, Member, IEEE, and Behzad Razavi, Fellow, IEEE IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 11, NOVEMBER 2009 3039 A 10-Bit 500-MS/s 55-mW CMOS ADC Ashutosh Verma, Member, IEEE, and Behzad Razavi, Fellow, IEEE Abstract A pipelined ADC incorporates

More information

Power (mw) DNL/INL (LSB) 200k / / /

Power (mw) DNL/INL (LSB) 200k / / / 동부하이텍공정 IP LIST 2010. 07. 25 서강대학교집적회로설계연구실 IP fsample (MS/s) VDD (V) Power (mw) / (LSB) Area (mm 2 ) Process (um) Comments [1] 12-bit ADC [2] 12-bit ADC [3] 10-bit ADC [4] 15-bit ADC [5] 13-bit ADC 200k

More information

EE247 Lecture 23. EECS 247 Lecture 23 Pipelined ADCs 2008 H.K. Page 1. Pipeline ADC Block Diagram DAC ADC. V res2. Stage 2 B 2.

EE247 Lecture 23. EECS 247 Lecture 23 Pipelined ADCs 2008 H.K. Page 1. Pipeline ADC Block Diagram DAC ADC. V res2. Stage 2 B 2. EE247 Lecture 23 Pipelined ADCs (continued) Effect gain stage, sub-dac non-idealities on overall ADC performance Digital calibration (continued) Correction for inter-stage gain nonlinearity Implementation

More information

DIGITAL wireless communication applications such as

DIGITAL wireless communication applications such as IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 57, NO. 8, AUGUST 2010 1829 An Asynchronous Binary-Search ADC Architecture With a Reduced Comparator Count Ying-Zu Lin, Student Member,

More information

SUCCESSIVE approximation register (SAR) analog-todigital

SUCCESSIVE approximation register (SAR) analog-todigital 426 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 62, NO. 5, MAY 2015 A Novel Hybrid Radix-/Radix-2 SAR ADC With Fast Convergence and Low Hardware Complexity Manzur Rahman, Arindam

More information

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract , pp.17-22 http://dx.doi.org/10.14257/ijunesst.2016.9.8.02 A 12-bit 100kS/s SAR ADC for Biomedical Applications Sung-Chan Rho 1 and Shin-Il Lim 2 1 Department of Electronics and Computer Engineering, Seokyeong

More information

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Bootstrapped ring oscillator with feedforward

More information

THE increasing demand for high-resolution analog-to-digital

THE increasing demand for high-resolution analog-to-digital IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 51, NO. 11, NOVEMBER 2004 2133 Radix-Based Digital Calibration Techniques for Multi-Stage Recycling Pipelined ADCs Dong-Young Chang, Member,

More information

Time- interleaved sigma- delta modulator using output prediction scheme

Time- interleaved sigma- delta modulator using output prediction scheme K.- S. Lee, F. Maloberti: "Time-interleaved sigma-delta modulator using output prediction scheme"; IEEE Transactions on Circuits and Systems II: Express Briefs, Vol. 51, Issue 10, Oct. 2004, pp. 537-541.

More information

Analysis of 1=f Noise in CMOS Preamplifier With CDS Circuit

Analysis of 1=f Noise in CMOS Preamplifier With CDS Circuit IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 49, NO. 4, AUGUST 2002 1819 Analysis of 1=f Noise in CMOS Preamplifier With CDS Circuit Tae-Hoon Lee, Gyuseong Cho, Hee Joon Kim, Seung Wook Lee, Wanno Lee, and

More information

Ultra Low Power High Speed Comparator for Analog to Digital Converters

Ultra Low Power High Speed Comparator for Analog to Digital Converters Ultra Low Power High Speed Comparator for Analog to Digital Converters Suman Biswas Department Of Electronics Kiit University Bhubaneswar,Odisha Dr. J. K DAS Rajendra Prasad Abstract --Dynamic comparators

More information

Advanced Operational Amplifiers

Advanced Operational Amplifiers IsLab Analog Integrated Circuit Design OPA2-47 Advanced Operational Amplifiers כ Kyungpook National University IsLab Analog Integrated Circuit Design OPA2-1 Advanced Current Mirrors and Opamps Two-stage

More information

IN the design of the fine comparator for a CMOS two-step flash A/D converter, the main design issues are offset cancelation

IN the design of the fine comparator for a CMOS two-step flash A/D converter, the main design issues are offset cancelation JOURNAL OF STELLAR EE315 CIRCUITS 1 A 60-MHz 150-µV Fully-Differential Comparator Erik P. Anderson and Jonathan S. Daniels (Invited Paper) Abstract The overall performance of two-step flash A/D converters

More information

University of Michigan, EECS413 Final project. A High Speed Operational Amplifier. 1. A High Speed Operational Amplifier

University of Michigan, EECS413 Final project. A High Speed Operational Amplifier. 1. A High Speed Operational Amplifier University of Michigan, EECS413 Final project. A High Speed Operational Amplifier. 1 A High Speed Operational Amplifier A. Halim El-Saadi, Mohammed El-Tanani, University of Michigan Abstract This paper

More information

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.6, DECEMBER, 2016 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2016.16.6.842 ISSN(Online) 2233-4866 A 82.5% Power Efficiency at 1.2 mw

More information

Combining Multipath and Single-Path Time-Interleaved Delta-Sigma Modulators Ahmed Gharbiya and David A. Johns

Combining Multipath and Single-Path Time-Interleaved Delta-Sigma Modulators Ahmed Gharbiya and David A. Johns 1224 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 12, DECEMBER 2008 Combining Multipath and Single-Path Time-Interleaved Delta-Sigma Modulators Ahmed Gharbiya and David A.

More information

3314 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 12, DECEMBER 2009

3314 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 12, DECEMBER 2009 3314 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 12, DECEMBER 2009 A 130 mw 100 MS/s Pipelined ADC With 69 db SNDR Enabled by Digital Harmonic Distortion Correction Andrea Panigada, Member, IEEE,

More information

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem A report Submitted to Canopus Systems Inc. Zuhail Sainudeen and Navid Yazdi Arizona State University July 2001 1. Overview

More information

DESIGN AND IMPLEMENTATION OF A LOW VOLTAGE LOW POWER DOUBLE TAIL COMPARATOR

DESIGN AND IMPLEMENTATION OF A LOW VOLTAGE LOW POWER DOUBLE TAIL COMPARATOR DESIGN AND IMPLEMENTATION OF A LOW VOLTAGE LOW POWER DOUBLE TAIL COMPARATOR 1 C.Hamsaveni, 2 R.Ramya 1,2 PG Scholar, Department of ECE, Hindusthan Institute of Technology, Coimbatore(India) ABSTRACT Comparators

More information

Chapter 13: Introduction to Switched- Capacitor Circuits

Chapter 13: Introduction to Switched- Capacitor Circuits Chapter 13: Introduction to Switched- Capacitor Circuits 13.1 General Considerations 13.2 Sampling Switches 13.3 Switched-Capacitor Amplifiers 13.4 Switched-Capacitor Integrator 13.5 Switched-Capacitor

More information

Figure 1 Typical block diagram of a high speed voltage comparator.

Figure 1 Typical block diagram of a high speed voltage comparator. IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 6, Ver. I (Nov. - Dec. 2016), PP 58-63 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design of Low Power Efficient

More information

An Ultra Low-Voltage and Low-Power OTA Using Bulk-Input Technique and Its Application in Active-RC Filters

An Ultra Low-Voltage and Low-Power OTA Using Bulk-Input Technique and Its Application in Active-RC Filters Circuits and Systems, 2011, 2, 183-189 doi:10.4236/cs.2011.23026 Published Online July 2011 (http://www.scirp.org/journal/cs) An Ultra Low-Voltage and Low-Power OTA Using Bulk-Input Technique and Its Application

More information

A 80Ms/sec 10bit PIPELINED ADC Using 1.5Bit Stages And Built-in Digital Error Correction Logic

A 80Ms/sec 10bit PIPELINED ADC Using 1.5Bit Stages And Built-in Digital Error Correction Logic A 80Ms/sec 10bit PIPELINED ADC Using 1.5Bit Stages And Built-in Digital Error Correction Logic Abstract P.Prasad Rao 1 and Prof.K.Lal Kishore 2, 1 Research Scholar, JNTU-Hyderabad prasadrao_hod@yahoo.co.in

More information

Atypical op amp consists of a differential input stage,

Atypical op amp consists of a differential input stage, IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 6, JUNE 1998 915 Low-Voltage Class Buffers with Quiescent Current Control Fan You, S. H. K. Embabi, and Edgar Sánchez-Sinencio Abstract This paper presents

More information

A Low-Power Capacitive Charge Pump Based Pipelined ADC Imran Ahmed, Member, IEEE, Jan Mulder, and David A. Johns, Fellow, IEEE

A Low-Power Capacitive Charge Pump Based Pipelined ADC Imran Ahmed, Member, IEEE, Jan Mulder, and David A. Johns, Fellow, IEEE 1016 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 5, MAY 2010 A Low-Power Capacitive Charge Pump Based Pipelined ADC Imran Ahmed, Member, IEEE, Jan Mulder, and David A. Johns, Fellow, IEEE Abstract

More information

PIPELINED analog-to-digital converters (ADCs) are

PIPELINED analog-to-digital converters (ADCs) are IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 5, MAY 2005 1047 A 15-b 40-MS/s CMOS Pipelined Analog-to-Digital Converter With Digital Background Calibration Hung-Chih Liu, Member, IEEE, Zwei-Mei Lee,

More information

Design of High-Speed Op-Amps for Signal Processing

Design of High-Speed Op-Amps for Signal Processing Design of High-Speed Op-Amps for Signal Processing R. Jacob (Jake) Baker, PhD, PE Professor and Chair Boise State University 1910 University Dr. Boise, ID 83725-2075 jbaker@ieee.org Abstract - As CMOS

More information

ATIME-INTERLEAVED analog-to-digital converter

ATIME-INTERLEAVED analog-to-digital converter IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 4, APRIL 2006 299 A Background Timing-Skew Calibration Technique for Time-Interleaved Analog-to-Digital Converters Chung-Yi Wang,

More information

Study of High Speed Buffer Amplifier using Microwind

Study of High Speed Buffer Amplifier using Microwind Study of High Speed Buffer Amplifier using Microwind Amrita Shukla M Tech Scholar NIIST Bhopal, India Puran Gaur HOD, NIIST Bhopal India Braj Bihari Soni Asst. Prof. NIIST Bhopal India ABSTRACT This paper

More information

SUCCESSIVE approximation register (SAR) analog-todigital

SUCCESSIVE approximation register (SAR) analog-todigital IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 4, APRIL 2010 731 A 10-bit 50-MS/s SAR ADC With a Monotonic Capacitor Switching Procedure Chun-Cheng Liu, Student Member, IEEE, Soon-Jyh Chang, Member,

More information

A Novel Continuous-Time Common-Mode Feedback for Low-Voltage Switched-OPAMP

A Novel Continuous-Time Common-Mode Feedback for Low-Voltage Switched-OPAMP 10.4 A Novel Continuous-Time Common-Mode Feedback for Low-oltage Switched-OPAMP M. Ali-Bakhshian Electrical Engineering Dept. Sharif University of Tech. Azadi Ave., Tehran, IRAN alibakhshian@ee.sharif.edu

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

AN increasing number of video and communication applications

AN increasing number of video and communication applications 1470 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 9, SEPTEMBER 1997 A Low-Power, High-Speed, Current-Feedback Op-Amp with a Novel Class AB High Current Output Stage Jim Bales Abstract A complementary

More information

HIGH-SPEED low-resolution analog-to-digital converters

HIGH-SPEED low-resolution analog-to-digital converters 244 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 64, NO. 3, MARCH 2017 A 0.95-mW 6-b 700-MS/s Single-Channel Loop-Unrolled SAR ADC in 40-nm CMOS Long Chen, Student Member, IEEE, Kareem

More information

Architectures and Design Methodologies for Very Low Power and Power Effective A/D Sigma-Delta Converters

Architectures and Design Methodologies for Very Low Power and Power Effective A/D Sigma-Delta Converters 0 Architectures and Design Methodologies for Very Low Power and Power Effective A/D Sigma-Delta Converters F. Maloberti University of Pavia - Italy franco.maloberti@unipv.it 1 Introduction Summary Sigma-Delta

More information

High-Speed Low-Power CMOS Pipelined Analog-to-Digital Converter

High-Speed Low-Power CMOS Pipelined Analog-to-Digital Converter IEICE TRANS. FUNDAMENTALS, VOL.E82 A, NO.6 JUNE 1999 981 PAPER Special Section of Papers Selected from ITC-CSCC 98 High-Speed Low-Power CMOS Pipelined Analog-to-Digital Converter Ri-A JU, Dong-Ho LEE,

More information

Design of a Low Power Current Steering Digital to Analog Converter in CMOS

Design of a Low Power Current Steering Digital to Analog Converter in CMOS Design of a Low Power Current Steering Digital to Analog Converter in CMOS Ranjan Kumar Mahapatro M. Tech, Dept. of ECE Centurion University of Technology & Management Paralakhemundi, India Sandipan Pine

More information

3 rd order Sigma-delta modulator with delayed feed-forward path for low-power applications

3 rd order Sigma-delta modulator with delayed feed-forward path for low-power applications 3 rd order Sigma-delta modulator with delayed feed-forward path for low-power applications Min-woong Lee, Seong-ik Cho Electronic Engineering Chonbuk National University 567 Baekje-daero, deokjin-gu, Jeonju-si,

More information

Design of an Assembly Line Structure ADC

Design of an Assembly Line Structure ADC Design of an Assembly Line Structure ADC Chen Hu 1, Feng Xie 1,Ming Yin 1 1 Department of Electronic Engineering, Naval University of Engineering, Wuhan, China Abstract This paper presents a circuit design

More information

Revision History. Contents

Revision History. Contents Revision History Ver. # Rev. Date Rev. By Comment 0.0 9/15/2012 Initial draft 1.0 9/16/2012 Remove class A part 2.0 9/17/2012 Comments and problem 2 added 3.0 10/3/2012 cmdmprobe re-simulation, add supplement

More information

Class-AB Low-Voltage CMOS Unity-Gain Buffers

Class-AB Low-Voltage CMOS Unity-Gain Buffers Class-AB Low-Voltage CMOS Unity-Gain Buffers Mariano Jimenez, Antonio Torralba, Ramón G. Carvajal and J. Ramírez-Angulo Abstract Class-AB circuits, which are able to deal with currents several orders of

More information

Low-Voltage Low-Power Switched-Current Circuits and Systems

Low-Voltage Low-Power Switched-Current Circuits and Systems Low-Voltage Low-Power Switched-Current Circuits and Systems Nianxiong Tan and Sven Eriksson Dept. of Electrical Engineering Linköping University S-581 83 Linköping, Sweden Abstract This paper presents

More information

Design of a Sample and Hold Circuit using Rail to Rail Low Voltage Compact Operational Amplifier and bootstrap Switching

Design of a Sample and Hold Circuit using Rail to Rail Low Voltage Compact Operational Amplifier and bootstrap Switching RESEARCH ARTICLE OPEN ACCESS Design of a Sample and Hold Circuit using Rail to Rail Low Voltage Compact Operational Amplifier and bootstrap Switching Annu Saini, Prity Yadav (M.Tech. Student, Department

More information

Design of High-Resolution MOSFET-Only Pipelined ADCs with Digital Calibration

Design of High-Resolution MOSFET-Only Pipelined ADCs with Digital Calibration Design of High-Resolution MOSET-Only Pipelined ADCs with Digital Calibration Hamed Aminzadeh, Mohammad Danaie, and Reza Lotfi Integrated Systems Lab., EE Dept., erdowsi University of Mashhad, Mashhad,

More information