PAPER A 10 b 200 MS/s1.8mm 2 83 mw 0.13 µm CMOS ADC Based on Highly Linear Integrated Capacitors

Size: px
Start display at page:

Download "PAPER A 10 b 200 MS/s1.8mm 2 83 mw 0.13 µm CMOS ADC Based on Highly Linear Integrated Capacitors"

Transcription

1 IEICE TRANS. ELECTRON., VOL.E90 C, NO.10 OCTOBER PAPER A 10 b 200 MS/s1.8mm 2 83 mw 0.13 µm CMOS ADC Based on Highly Linear Integrated Capacitors Young-Ju KIM, Young-Jae CHO, Members, Doo-Hwan SA, and Seung-Hoon LEE a), Nonmembers SUMMARY This work proposes a 10 b 200 MS/s 1.8mm 2 83 mw 0.13 µm CMOS ADC based on highly linear integrated capacitors for highquality video system applications such as next-generation DTV and radar vision and wireless communication system applications such as WLAN, WiMax, SDR, LMDS, and MMDS simultaneously requiring low voltage, low power, and small area at high speed. The proposed 3-stage pipeline ADC optimizes chip area and power dissipation at the target resolution and sampling rate. The proposed ADC employs two versions of the SHA with gate-bootstrapped NMOS switches and conventional CMOS switches to verify and compare the input sampling effectiveness. Both of the two versions of the wide-band low-noise SHA maintain 10 b input accuracy at 200 MS/s. The proposed all signal-isolated 3-D completely symmetric capacitor layout reduces the device mismatch of two MDACs by isolating each unit capacitor from all neighboring signal lines with all the employed metal lines and by placing extra internal metal lines with a fixed internal bias voltage between signal lines connecting the bottom plate of each unit capacitor. The low-noise on-chip current and voltage references with internal RC filters can select optional off-chip voltage references. The prototype ADC is implemented in a 0.13 µm 1P8M CMOS process. The measured DNL and INL are within 0.24 LSB and 0.35 LSB while the ADC shows a maximum SNDR of 54 db and 48 db and a maximum SFDR of 67 db and 61 db at 200 MS/s and 250 MS/s, respectively. The ADC with an active die area of 1.8 mm 2 consumes 83 mw at 200 MS/s and at a 1.2 V supply. key words: ADC, CMOS, 3-D symmetric layout, low power, low voltage 1. Introduction The recent advance of deep submicron- or nanometerscale device manufacturing technologies and super highspeed digital signal processing techniques has accelerated the System-on-a-Chip (SoC) trend to integrate many complicated analog and digital VLSI circuits in a single chip. High-resolution, high-speed, low-cost CMOS A/D converters (ADCs) for critical system interface have also been in high demand. Particularly, the ADCs for high-quality video displays and wireless communication systems such as nextgeneration Digital TV (DTV) with Ultra extended Graphics Array (UXGA) resolution, radar vision, IEEE based Wireless Local Area Network (WLAN), IEEE based Worldwide Interoperability for Microwave Access (WiMax), Software Defined Radio (SDR), Local Multipoint Distribution Service (LMDS), Multi-channel Multipoint Distribution Service (MMDS), and various highperformance test equipment require a resolution of 10 b level and a sampling rate exceeding 200MS/s simultane- Manuscript received January 10, Manuscript revised May 7, The authors are with the Department of Electronic Engineering, Sogang University, Seoul , Korea. a) hoonlee@sogang.ac.kr DOI: /ietele/e90 c ously with low voltage, low power, and small chip area. Of various conventional ADC architectures, the pipeline architecture has been widely employed to obtain the required performance of a 10 b resolution and a sampling rate exceeding 200MS/s and simultaneously to optimize power consumption and chip area. The proposed ADC and the recently reported 10 b CMOS ADCs with a sampling rate exceeding 50 MS/s are compared in Fig. 1 [1] [19]. As illustrated in Fig. 1, most of the ADCs are based on a multi-bit-per-stage pipeline architecture with more than four stages guaranteeing high-speed operation due to a decreased closed-loop gain and a reduced load capacitance of inter-stage amplifiers. However, the power consumption and chip area of the ADCs may be increased with more pipeline stages and the static and dynamic performance may be considerably degraded due to input-referred errors and device mismatches from back-end pipeline stages [18]. On the other hand, a two-stage pipeline architecture which decides 6 b and 5 b from each stage consumes less power and occupies smaller chip area than a multi-bit-perstage pipeline architecture due to the reduced number of amplifiers while it is difficult to achieve a sampling rate of 200 MS/s level at 10 b accuracy due to the high closed-loop gain and load capacitance of inter-stage amplifiers [13]. A parallel pipeline architecture has also been adopted to obtain a sampling rate of several hundred MHz [15], [16], [19]. However, the parallel pipeline architecture may increase the active die area and require additional circuit design techniques to reduce mismatches and offsets between independent channels. Considering the above-mentioned design issues, the Fig. 1 Sampling rate and power consumption of recently reported 10 b CMOS ADCs. Copyright c 2007 The Institute of Electronics, Information and Communication Engineers

2 2038 IEICE TRANS. ELECTRON., VOL.E90 C, NO.10 OCTOBER 2007 proposed ADC adopts a three-stage pipeline architecture to optimize power consumption and chip area and to reduce the input-referred noise and device mismatch from backend stages by reducing the number of inter-stage amplifiers at the required sampling rate of 200 MS/s. As shown in Fig. 1, the proposed ADC demonstrates a power consumption of 0.42 mw/mhz at a 1.2 V supply voltage and 200 MS/s, which is close to the highest value in the world. The proposed 10 b 200 MS/s0.13µm CMOS ADC implements (1) a three-stage pipeline architecture to minimize power and chip area, (2) both of gate-bootstrapped NMOS switches and conventional CMOS switches to verify and compare the input sampling effectiveness of the Sample-and-Hold Amplifier (SHA) at a 1.2 V supply, (3) all signal-isolated 3-D completely symmetric layout to minimize capacitor mismatch in the Multiplying D/AConverters (MDACs) critical to the overall ADC linearity performance, and (4) temperature- and supply-insensitive on-chip current and voltage (I/V) references using internal RC filters with optional off-chip voltage references. 2. Proposed 10 b 200 MS/s0.13µm CMOS ADC The proposed 10 b 200 MS/s ADC as illustrated in Fig. 2 employs a three-stage pipeline architecture to obtain 4 b from each stage and consists of a single input SHA, two 4 b MDACs, three 4 b sub-ranging flash ADCs, a Digital Correction Logic (DCL) block, on-chip I/V references, and onchip timing and decimator circuits. Nonlinear errors such as inter-stage offsets and clock feed-through errors in the SHA, the MDACs, and the flash ADCs are digitally corrected in the DCL by overlapping 2 b from 12 b raw codes to obtain 10 b outputs. The on-chip I/V references supply the MDACs and the flash ADCs with highly accurate and stable references, which are difficult to be implemented with off-chip references at this sampling rate of 200 MS/s. The on-chip decimator captures digital outputs of the prototype ADC at a full, a half, or a quarter conversion speed to minimize the transient noise which can be generated from the printed circuit board during measurements. 3. Circuit Implementation 3.1 Two Versions of the SHA One of the most critical circuit blocks to design low-voltage ADCs is the input sampling switch and amplifier in the SHA. The proposed wide-band low-noise SHA is implemented in two versions to maintain a 10 b resolution at 200 MS/s. The Version1 SHA, as shown in Fig. 3(a), is composed of gate-bootstrapped NMOS sampling switches [20]. The gate-bootstrapped sampling switches minimize the nonlinear distortion of sampled inputs due to switch onresistance variations depending on input signal levels by keeping the gate-source voltage of the NMOS switches constant (=V DD ) as described in Eq. (1) [21]. 1 R on = W µ n C ox L (V gs V th ), V gs V DD (1) The gate-bootstrapping circuit in a conventional CMOS ADC employs high-voltage devices with long channel and thick gate oxide in the transistors exposed to highvoltage stress to remove long-term reliability problems [12], but it requires increased chip area and clock buffers. Particularly, when high-voltage devices for input/output protection circuits in this 0.13 µm CMOS process are employed in the bootstrapping circuits, the threshold voltage is increased and the switch overdrive voltage (V gs -V th )isdecreased rapidly. As a result, the SHA cannot handle properly Nyquist-rate input signals with 10 b accuracy and shows the simulated EffectiveNumberofBits(ENOB)ofonly6b Fig. 2 Proposed 10 b 200 MS/s 0.13 µm CMOS ADC. Fig. 3 Proposed wide-band low-noise SHA. (a) Version1 with gatebootstrapped sampling switches and (b) Version2 with conventional CMOS sampling switches.

3 KIM et al.: A 10 b 200 MS/s1.8mm 2 83 mw 0.13 µm CMOS ADC BASED ON HIGHLY LINEAR INTEGRATED CAPACITORS 2039 levelat200ms/s with 1 Vp-p input signals due to a high onresistance value. The proposed SHA employs 1.2 V nominal devices with a low threshold voltage of 0.3 V to 0.4 V level and a low-parasitic capacitance in the gate-bootstrapping circuits and sampling switches. Since the 1.2 V devices may still produce the oxide failure and breakdown effects due to a high voltage exceeding a supply voltage, the Version2 SHA employs the conventional CMOS sampling switches with a sufficiently low resistance as shown in Fig. 3(b). The conventional CMOS sampling switches tend to show signal-dependent distortion in sampled input signals due to switch on-resistance variations depending on input signals as calculated in Eq. (2) [21]. The distortion degrades the dynamic performance of the SHA. The Version2 SHA reduces the distortion by employing the CMOS sampling switches with a big enough W/L ratio appropriate for the required low resistance. The simulated and measured results show that both versions of the SHA achieve the target resolution of 10 b at 200 MS/s. 1 R on = k n (V DD V tn ) (k n k p )V in k p V tp ( W ) ( W ) k n = µ n C ox, k p = µ p C ox L L n p (2) The proposed SHA is based on the conventional twocapacitor flip-around architecture to minimize power consumption and chip area at 10 b and a sampling rate of 200 MS/s. The magnitude of sampling capacitors is decided to be 0.8 pf considering the kt/c noise and the 10 b accuracy of 1 Vp-p input signals. 3.2 Highly Linear Signal-Isolated Completely Symmetric Capacitors The MDAC capacitor mismatch is one of the most critical factors to affect the ADC static and dynamic performance. The capacitor mismatch is caused primarily by random errors and systematic errors. Random errors are caused by process limitations such as inaccurate etching and oxide thickness variations while systematic errors come from different parasitic capacitances between capacitors and neighboring signal lines. As sub-micron technologies develop, random errors decrease and systematic errors can be reduced by well-defined layout techniques without additional calibration schemes [22], [23]. Three different MDAC capacitor layouts for the conventional 14 b ADCs are illustrated in Fig. 4. All unit capacitors in the Type I layout of Fig. 4(a) have a symmetrical structure and are enclosed by all the employed metals except the metals used for routing the top and bottom plates of capacitors [22]. The similar surrounding environment makes all the unit capacitors achieve high matching. The measured maximum Differential Non- Linearity (DNL) and Integral Non-Linearity (INL) of this ADC are 1.03 LSB and 5.47 LSB, respectively. Two different versions of the capacitor layout, Type II Fig. 4 Three types of the conventional MDAC capacitor layout. (a) Type I [22], (b) Type II [23], and (c) Type III [23]. and Type III, are implemented and evaluated to improve capacitor mismatch as illustrated in Figs. 4(b) and 4(c) [23]. As indicated by A in Fig. 4(b), the top metal line is not used as an interconnection line and laid out as a dummy line to reduce the capacitor mismatch caused by a parasitic fringing capacitance of the top plate. The Type II layout makes unit capacitors reside physically in the same environment as Type I. However, when signals with some different voltage levels pass through adjacent unit capacitors, each capacitor as indicated by B in Fig. 4(b) may have functionally a different parasitic capacitance. On the other hand, the Type III layout scheme of Fig. 4(c) can minimize capacitor mismatch physically as well as functionally by protecting each unit capacitor from all the passing-by signal lines. The measured maximum DNL values of two versions of the ADC are 0.77 LSB and 0.65 LSB while the maximum INL values

4 2040 IEICE TRANS. ELECTRON., VOL.E90 C, NO.10 OCTOBER 2007 Fig. 5 Proposed highly linear all signal-isolated 3-D completely symmetric capacitors. Fig. 6 Proposed on-chip current and voltage references. are 9.28 LSB and 1.80 LSB, respectively. The proposed all signal-isolated 3-D completely symmetric MDAC capacitor layout for improved linearity is shown in Fig. 5, based on the Metal-Insulator-Metal (MIM) capacitor structure using all the 8 metal lines in a 1P8M CMOS process. Since this CMOS process employs the top metal layer as an interconnection line for the top plate of capacitors, capacitor mismatch caused by parasitic fringing capacitances of the top plate cannot be reduced. On the other hand, the layout in Fig. 4(c) may have still the capacitor mismatch caused by a different interline parasitic capacitance at region C when some specific signals pass through the lines connecting the bottom plate of each unit capacitor. Capacitor mismatch can be reduced further by the proposed layout as indicated by D in Fig. 5, where additional metal lines connected to a fixed internal bias voltage are laid out between signal lines connecting the capacitor bottom plates. The proposed 4 b MDAC based on the improved capacitor layout uses the Merged-Capacitor Switching (MCS) technique [10] to reduce the number of required unit capacitors by a half. The unit capacitor sizes of the first and second MDACs are 100 ff and 60 ff, respectively, considering the required kt/c noise and the 10 b matching. 3.3 On-Chip CMOS Current and Voltage References The proposed ADC employs on-chip low-power I/V reference circuits to maintain 10 b accurate reference voltages at a sampling rate of 200 MS/s as shown in Fig. 6. The current reference block (IREF) in Fig. 6 can calibrate a current mismatch of 30% level with 3 b IVCN digital pins and the total power consumption of the ADC is reduced to 5 µw with the Power-Off (POFF) control signal set to high. On the other hand, the reference voltages connected to the ADC core tend to contain high-frequency transient glitches and switching noises due to repeated charging and discharging operations of MOS switches. In this work, simple integrated RC passive filters in the right side of Fig. 6 considerably reduce the glitches at the reference voltage outputs and minimize the settling time at a sampling rate of 200MS/s without the large off-chip decoupling capacitors of several µf level. The resistor and capacitor sizes of the RC Fig. 7 Simulated on-chip top and bottom reference voltages. passive filters are 30 Ω and 100 pf, respectively. Moreover, an inductor of 2.5 nh and a stray capacitor of 700 ff are included for this package modeling. The simulation results in Fig. 7 show that the settling times at the REFTOP and REF- BOT nodes with the on-chip RC filters alone are 0.65 ns and 0.60 ns, respectively, while the settling times of two output nodes with both of the on-chip RC filters and 0.1 µf offchip decoupling capacitors are 0.84 ns and 0.77 ns, respectively. Moreover, the voltage levels at the reference output nodes are designed to be ±0.262 V which are bigger than the ideal voltage levels of ±0.25 V by 5% considering the voltage drop due to the resistance of interconnection lines for layout. 4. Prototype ADC Measurements The proposed 10 b 200 MS/s ADC is fabricated in a 0.13 µm n-well 1P8M TSMC CMOS process. The proposed ADC has a limited number of required external pins such as inputs, outputs, and power supplies primarily for the use as a core IP block of high-performance integrated systems. The die photograph of the Version1 ADC employing gatebootstrapped sampling switches in the SHA is shown in Fig. 8(a) while the die photograph of the Version2 ADC employing CMOS sampling switches in the SHA is shown in Fig. 8(b). The actual area of gate-bootstrapped sampling switches of Version1 is µm 2 while the area of CMOS sampling switches of Version2 is µm 2. However, both versions of the prototype ADC have the same die size since other blocks than input sampling switches were designed and laid out identically for fair comparison.

5 KIM et al.: A 10 b 200 MS/s1.8mm 2 83 mw 0.13 µm CMOS ADC BASED ON HIGHLY LINEAR INTEGRATED CAPACITORS 2041 Table 1 ADCs. Performance comparison of the recently published 10 b CMOS Fig. 8 Die photograph of the prototype ADCs. (a) Version1 with gatebootstrapped sampling switches and (b) Version2 with conventional CMOS sampling switches (both 1.32 mm 1.36 mm). Fig. 10 Signal spectrum of the Version2 ADC measured with a 10 MHz sinusoidal input at 200 MS/s (data1/4 fs down-sampled). Fig. 9 Measured DNL and INL of the Version2 ADC based on conventional CMOS sampling switches. The functional blocks encircled by the bold continuous and dotted lines in Fig. 8 represent the PMOS and NMOS onchip decoupling capacitors, respectively. The on-chip decoupling capacitance of 300 pf level is needed to stabilize the top and bottom reference voltages and the internal signal common voltage while the on-chip decoupling capacitance of 180 pf is integrated on the idle space of the ADC to reduce glitches from analog and digital power supplies. The prototype ADC occupies an active die area of 1.8 mm 2 (=1.32 mm 1.36 mm) and dissipates 83 mw at 1.2 V and 200 MS/s. The measured DNL and INL of the Version1 prototype ADC employing gate-bootstrapped sampling switches are within 0.38 LSB and 0.48 LSB. The Version2 ADC employing CMOS sampling switches in the SHA shows the maximum DNL and INL of 0.24 LSB and 0.35 LSB as illustrated in Fig. 9. From the standpoint of static linearity performance, the Version2 ADC employing CMOS sampling switches is a little bit better than the Version1 ADC. Table 1 summarizes the performance comparison of the proposed ADC and the recently published pipeline CMOS ADCs with a 10 b resolution and hundreds of MS/s. As shown in Table 1, the proposed ADC demonstrates almost the best static linearity performance of DNL and INL based on the proposed all signal-isolated 3-D completely symmetric capacitor layout. The figure of Merits (FOM), defined as Power/(2 ENOB Fs), is 1.01 pj/conversion-step at a 10 MHz input with 200 MS/s. The typical signal spectrum of the Version2 prototype ADC employing CMOS sampling switches is measured with a 10 MHz input frequency at a sampling rate of 200 MS/s as plotted in Fig. 10. Digital output data are captured at a quarter rate of the full conversion speed of 200 MHz by the on-chip decimator to minimize digital coupling noise. The measured dynamic performance of the prototype ADCs is summarized in Fig. 11. The Signal-to-Noiseand-Distortion Ratio (SNDR) and Spurious-Free Dynamic Range (SFDR) of the Version2 ADC employing CMOS sampling switches in Fig. 11(a) are measured with different sampling rates up to 250 MS/s ata10mhzdifferential input. The SNDR and SFDR are maintained over 54 db and67dbuptoasamplingrateof200ms/s. With a maximum sampling rate of 250 MS/s, both of the SNDR and SFDR are maintained above 48 db and 61 db which are reduced by 6 db compared to a 200 MS/s sampling rate. The SNDR and SFDR of the Version2 ADC employing gatebootstrapped sampling switches in Fig. 11(b) are measured with increasing input frequencies at the sampling rates of 200 MS/s and 250 MS/s. With input frequencies increased to

6 2042 IEICE TRANS. ELECTRON., VOL.E90 C, NO.10 OCTOBER 2007 Fig. 11 Measured dynamic performance of the prototype ADCs: SFDR and SNDR versus (a) fs (with Version2 ADC) and (b) fin (with Version1 ADC). Table 2 Performance summary of the prototype ADCs. This work proposes a 10 b 200 MS/s 1.8mm 2 83 mw 0.13 µm CMOS ADC for high-quality video system applications such as next-generation DTV, radar vision, and wireless communication systems such as WLAN, WiMax, SDR, LMDS, and MMDS. The following circuit design and layout techniques are considered to obtain the required target performance. First, the proposed ADC employs a three-stage pipeline architecture to optimize resolution and low power dissipation at 200 MS/s. Second, the wide-band low-noise input SHA employs two versions of input sampling switches, gate-bootstrapped switches and CMOS switches, for performance verification and comparison. As both versions of the SHA show 10 b input accuracy with similar static and dynamic performance at 200 MS/s, the ADC version based on CMOS switches occupying smaller area may be more efficientin this levelof 10band200MS/s. Third, the improved all signal-isolated 3-D completely symmetric layout technique is proposed in two MDACs to minimize capacitor mismatch by isolating each unit capacitor from all neighboring signal lines with all the employed metal lines and by placing extra internal metal lines between signal lines connecting the bottom plate of each unit capacitor. In addition, the ADC has on-chip low-power I/V references with optional off-chip voltage references. The on-chip PMOS and NMOS decoupling capacitance of 500 pf level is integrated to minimize coupling noise. The prototype ADC in a 0.13 µm 1P8MCMOSprocess shows the measured DNL and INL within 0.24 LSB and 0.35 LSB while the ADC shows a maximum SNDR of 54 db and 48 db and a maximum SFDR of 67 db and 61 db at 200 MS/s and 250 MS/s, respectively. The ADC occupies an active die area of 1.8 mm 2 and consumes 83 mw at 200 MS/s and a 1.2 V supply. Acknowledgements This work was partly supported by the Nano IP/SoC Promotion Group of Seoul R&BD Program 2006, the System 2010 Project, and the IDEC of KAIST. References the Nyquist frequency, the SNDR and SFDR are maintained above 50 db and 63 db at 200 MS/s and above 43 db and 56 db at 250 MS/s, respectively. Both of the two Versions of the prototype ADC show the similar dynamic performance. The measured performance of the prototype ADCs is summarized in Table Conclusion [1] B. Vaz, J. Goes, and N. Paulino, A 1.5-V 10-b 50 MS/s timeinterleaved switched-opamp pipeline CMOS ADC with high energy efficiency, Symp. VLSI Circuits Dig. Tech. Papers, pp , June [2] S.T. Ryu, B.S. Song, and K. Bacrania, A 10 b 50 MS/s pipelined ADC with opamp current reuse, ISSCC Dig. Tech. Papers, pp , Feb [3] H.C. Choi, J.H. Kim, S.M. Yoo, K.J. Lee, T.H. Oh, M.J. Seo, and J.W. Kim, A 15 mw 0.2 mm 2 10 b 50 MS/s ADC with wide input range, ISSCC Dig. Tech. Papers, pp , Feb [4] Y.I. Park, S. Karthikeyan, F. Tsay, and E. Bartolome, A low-power 10 bit, 80 MS/s CMOS pipelined ADC at 1.8 V power supply, Proc. IEEE Int. Symp. Circuits and Systems, pp , May [5] B.M.Min,P.Kim,D.Boisvert,andA.Aude, A69mW10b 80 MS/s pipelined CMOS ADC, ISSCC Dig. Tech. Papers, pp , Feb [6] O. Stroeble, V. Dias, and C. Schwoerer, An 80 MHz 10 b pipeline ADC with dynamic range doubling and dynamic reference selection, ISSCC Dig. Tech. Papers, pp , Feb [7] Y.I. Park, S. Karthikeyan, F. Tsay, and E. Bartolome, A 10 b 100 MSample/s CMOS pipelined ADC with 1.8 V power supply, ISSCC Dig. Tech. Papers, pp , Feb

7 KIM et al.: A 10 b 200 MS/s1.8mm 2 83 mw 0.13 µm CMOS ADC BASED ON HIGHLY LINEAR INTEGRATED CAPACITORS 2043 [8] J. Li and U.K. Moon, A 1.8-V 67 mw 10-bit 100 MSPS pipelined ADC using time-shifted CDS technique, Proc. CICC, pp , Sept [9] K. Honda, F. Masanori, and S. Kawahito, A 1 V 30 mw 10 b 100 MSample/s pipeline A/D converter using capacitance coupling techniques, Symp. VLSI Circuit Dig. Tech. Papers, pp , June [10] S.M. Yoo, T.H. Oh, J.W. Moon, S.H. Lee, and U.K. Moon, A 2.5 V 10 b 120 MSample/s CMOS pipelined ADC with high SFDR, Proc. CICC, pp , May [11] M. Yoshioka, M. Kudo, K. Gotoh, and Y. Watanabe, A 10 b 125 MS/s 40 mw pipelined ADC in 0.18 µm CMOS, ISSCC Dig. Tech. Papers, pp , Feb [12] S.M. Yoo, J.B. Park, H.S. Yang, H.H. Bae, K.H. Moon, H.J. Park, S.H. Lee, and J.H. Kim, A 10 b 150 MS/s 123 mw 0.18 µm CMOS pipelined ADC, ISSCC Dig. Tech. Papers, pp , Feb [13] M. Clara, A. Wiesbauer, and F. Kuttner, A 1.8 V completely embedded 10 b 160 MS/s two-step ADC in 0.18 µm CMOS, Proc. CICC, pp , May [14] J. Li, G. Manganaro, M. Courcy, and B.M. Min, A 10 b 170 MS/s CMOS pipelined ADC featuring 84 db SFDR without calibration, Symp. VLSI Circuit Dig. Tech. Papers, pp , June [15] L. Sumanen, M. Waltari, and K.A.I. Halonen, A 10-bit 200-MS/s CMOS parallel pipeline A/D converter, IEEE J. Solid-State Circuits, vol.36, no.7, pp , July [16] S.C. Lee, G.H. Kim, J.K. Kwon, J.D. Kim, and S.H. Lee, Offset and dynamic gain-mismatch reduction techniques for 10 b 200 MS/s parallel pipeline ADCs, Proc. Eur. Solid-State Circuits Conf., pp , Sept [17] D. Kurose, T. Ito, T. Ueno, T. Yamaji, and T. Itakura, 55-mW 200-MSPS 10-bit pipelined ADCs for wireless receivers, Proc. Eur. Solid-State Circuits Conf., pp , Sept [18] B. Hernes, A. Briskemyr, T.N. Andersen, F. Telsto, T.E. Bonnerud, and O. Moldsvor, A 1.2 V 220 MS/s 10 b pipeline ADC implemented in 0.13 µm digital CMOS, ISSCC Dig. Tech. Papers, pp , Feb [19] S.C. Lee, K.D. Kim, J.K. Kwon, J.D. Kim, and S.H. Lee, A 10- bit 400-MS/s 160-mW 0.13-µm CMOS dual-channel pipeline ADC without channel mismatch calibration, IEEE J. Solid-State Circuits, vol.41, no.7, pp , July [20] A.M. Abo and P.R. Gray, A 1.5-V, 10-bit, 14.3-MS/s CMOS pipelined analog-to-digital converter, IEEE J. Solid-State Circuits, vol.34, no.5, pp , May [21] A. Gothenberg and H. Tenhunen, Performance analysis of sampling switches in voltage and frequency domains using volterra series, Proc. IEEE Int. Symp. Circuits and Systems, pp , May [22] K.H. Lee, Y.J. Cho, Y.H. Park, D.H. Sa, H.C. Choi, and S.H. Lee, A 14 b 100 MS/s 3.4mm mw 0.18 µm CMOS pipeline A/D converter, IEEE APCCAS, pp , Dec [23] Y.J. Cho, H.C. Choi, K.H. Lee, S.H. Lee, K.H. Moon, and J.H. Kim, A calibration-free 14 b 70 MS/s 0.13µm CMOS pipeline ADC with high-matching 3-D symmetric capacitors, Proc. CICC, pp , Sept Young-Ju Kim received the B.S. and M.S. degrees in electronic engineering from Sogang University, Seoul, Korea, in 2005 and 2007, where he is currently pursuing the Ph.D. degree. His current interests are in the design of high-resolution low-power CMOS data converters and very high-speed mixed-mode integrated systems. Young-Jae Cho received the B.S., M.S., and Ph.D. degrees in electronic engineering from Sogang University, Seoul, Korea, in 1999, 2003, and He has been with Silicon Image Inc. since His research interest is in the design and test of high-resolution low-power CMOS data converters, CMOS image sensors, and analog display interfaces. Doo-Hwan Sa received the B.S. and M.S. degrees in electronic engineering from Sogang University, Seoul, Korea, in 2005 and He has been with Hynix Semiconductor since March His research topics are the design and test of mixed mode circuits and high-speed high-resolution CMOS data converters. Seung-Hoon Lee received the B.S. and M.S. degrees with honors in electronics engineering from Seoul National University, Seoul, Korea, in 1984 and in 1986, respectively, and the Ph.D. degree in electrical and computer engineering from the University of Illinois, Urbana- Champaign, in From 1990 to 1993, he was with Analog Devices Semiconductor, Wilmington, MA, as a senior design engineer. Since 1993, he has been with the department of electronic engineering, Sogang University, Seoul, Korea, where he is now a professor. He has published hundreds of international and domestic journal and conference papers, IPs, books, technical reports, and patents, while he has been serving as the editor of the IEEK Journal of Semiconductor Devices, Circuits, and Systems and a TPC member of many international and domestic conferences including the IEEE Symposium on VLSI Circuits. His current interest is in the design and testing of high-resolution high-speed CMOS data converters, CMOS communication circuits, integrated sensors, and mixed-mode integrated systems.

RECENTLY, low-voltage and low-power circuit design

RECENTLY, low-voltage and low-power circuit design IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 4, APRIL 2008 319 A Programmable 0.8-V 10-bit 60-MS/s 19.2-mW 0.13-m CMOS ADC Operating Down to 0.5 V Hee-Cheol Choi, Young-Ju

More information

PAPER A Single Amplifier-Based 12-bit 100 MS/s 1V19mW0.13µm CMOS ADC with Various Power and Area Minimized Circuit Techniques

PAPER A Single Amplifier-Based 12-bit 100 MS/s 1V19mW0.13µm CMOS ADC with Various Power and Area Minimized Circuit Techniques 1282 PAPER A Single Amplifier-Based 12-bit 100 MS/s 1V19mW0.13µm CMOS ADC with Various Power and Area Minimized Circuit Techniques Byeong-Woo KOO, Member, Seung-Jae PARK, Gil-Cho AHN, and Seung-Hoon LEE

More information

620 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 3, MARCH /$ IEEE

620 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 3, MARCH /$ IEEE 620 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 3, MARCH 2010 A 12 bit 50 MS/s CMOS Nyquist A/D Converter With a Fully Differential Class-AB Switched Op-Amp Young-Ju Kim, Hee-Cheol Choi, Gil-Cho

More information

/$ IEEE

/$ IEEE 894 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 56, NO. 5, MAY 2009 A 1.2-V 12-b 120-MS/s SHA-Free Dual-Channel Nyquist ADC Based on Midcode Calibration Hee-Cheol Choi, Young-Ju Kim,

More information

A Rail-to-Rail Input 12b 2 MS/s 0.18 µm CMOS Cyclic ADC for Touch Screen Applications

A Rail-to-Rail Input 12b 2 MS/s 0.18 µm CMOS Cyclic ADC for Touch Screen Applications 160 HEE-CHEOL CHOI et al : A RAIL-TO-RAIL INPUT 12B 2 MS/S 0.18 µm CMOS CYCLIC ADC FOR TOUCH SCREEN APPLICATIONS A Rail-to-Rail Input 12b 2 MS/s 0.18 µm CMOS Cyclic ADC for Touch Screen Applications Hee-Cheol

More information

A 12b 100 MS/s Three-Step Hybrid Pipeline ADC Based on Time-Interleaved SAR ADCs

A 12b 100 MS/s Three-Step Hybrid Pipeline ADC Based on Time-Interleaved SAR ADCs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.2, APRIL, 2014 http://dx.doi.org/10.5573/jsts.2014.14.2.189 A 12b 100 MS/s Three-Step Hybrid ADC Based on Time-Interleaved SAR ADCs Jun-Sang

More information

2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS

2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS 2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS November 30 - December 3, 2008 Venetian Macao Resort-Hotel Macao, China IEEE Catalog Number: CFP08APC-USB ISBN: 978-1-4244-2342-2 Library of Congress:

More information

PAPER A 12 b 200 ks/s 0.52 ma 0.47 mm 2 Algorithmic A/D Converter for MEMS Applications

PAPER A 12 b 200 ks/s 0.52 ma 0.47 mm 2 Algorithmic A/D Converter for MEMS Applications 206 PAPER A 12 b 200 ks/s 0.52 ma 0.47 mm 2 Algorithmic A/D Converter for MEMS Applications Young-Ju KIM, Hee-Cheol CHOI, Members, Seung-Hoon LEE a), and Dongil Dan CHO, Nonmembers SUMMARY This work describes

More information

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY Neha Bakawale Departmentof Electronics & Instrumentation Engineering, Shri G. S. Institute of

More information

WITH the recent development of communication systems

WITH the recent development of communication systems IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 58, NO. 9, SEPTEMBER 2011 2127 A 12b 50 MS/s 21.6 mw 0.18 m CMOS ADC Maximally Sharing Capacitors and Op-Amps Kyung-Hoon Lee, Student Member,

More information

A Two-channel 10b 160 MS/s 28 nm CMOS Asynchronous Pipelined-SAR ADC with Low Channel Mismatch

A Two-channel 10b 160 MS/s 28 nm CMOS Asynchronous Pipelined-SAR ADC with Low Channel Mismatch JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.5, OCTOBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.5.636 ISSN(Online) 2233-4866 A Two-channel 10b 160 MS/s 28 nm CMOS

More information

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 25.4 A 1.8V 14b 10MS/s Pipelined ADC in 0.18µm CMOS with 99dB SFDR Yun Chiu, Paul R. Gray, Borivoje Nikolic University of California, Berkeley,

More information

A 35 fj 10b 160 MS/s Pipelined- SAR ADC with Decoupled Flip- Around MDAC and Self- Embedded Offset Cancellation

A 35 fj 10b 160 MS/s Pipelined- SAR ADC with Decoupled Flip- Around MDAC and Self- Embedded Offset Cancellation Y. Zu, C.- H. Chan, S.- W. Sin, S.- P. U, R.P. Martins, F. Maloberti: "A 35 fj 10b 160 MS/s Pipelined-SAR ADC with Decoupled Flip-Around MDAC and Self- Embedded Offset Cancellation"; IEEE Asian Solid-

More information

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.2, APRIL, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.2.281 ISSN(Online) 2233-4866 A 4b/cycle Flash-assisted SAR ADC with

More information

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3 ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3 25.3 A 96dB SFDR 50MS/s Digitally Enhanced CMOS Pipeline A/D Converter K. Nair, R. Harjani University of Minnesota, Minneapolis, MN Analog-to-digital

More information

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth LETTER IEICE Electronics Express, Vol.11, No.2, 1 9 A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth Mingshuo Wang a), Fan Ye, Wei Li, and Junyan Ren b) State Key Laboratory

More information

PAPER Circuit Performance Degradation of Switched-Capacitor Circuit with Bootstrapped Technique due to Gate-Oxide Overstress in a 130-nm CMOS Process

PAPER Circuit Performance Degradation of Switched-Capacitor Circuit with Bootstrapped Technique due to Gate-Oxide Overstress in a 130-nm CMOS Process 378 PAPER Circuit Performance Degradation of Switched-Capacitor Circuit with Bootstrapped Technique due to Gate-Oxide Overstress in a 130-nm CMOS Process Jung-Sheng CHEN, Nonmember and Ming-Dou KER a),

More information

Index terms: Analog to Digital conversion, capacitor sharing, high speed OPAMP-sharing pipelined analog to digital convertor, Low power.

Index terms: Analog to Digital conversion, capacitor sharing, high speed OPAMP-sharing pipelined analog to digital convertor, Low power. Pipeline ADC using Switched Capacitor Sharing Technique with 2.5 V, 10-bit Ankit Jain Dept. of Electronics and Communication, Indore Institute of Science & Technology, Indore, India Abstract: This paper

More information

A Two- Bit- per- Cycle Successive- Approximation ADC with Background Offset Calibration

A Two- Bit- per- Cycle Successive- Approximation ADC with Background Offset Calibration M. Casubolo, M. Grassi, A. Lombardi, F. Maloberti, P. Malcovati: "A Two-Bit-per- Cycle Successive-Approximation ADC with Background Calibration"; 15th IEEE Int. Conf. on Electronics, Circuits and Systems,

More information

Power (mw) DNL/INL (LSB) 200k / / /

Power (mw) DNL/INL (LSB) 200k / / / 동부하이텍공정 IP LIST 2010. 07. 25 서강대학교집적회로설계연구실 IP fsample (MS/s) VDD (V) Power (mw) / (LSB) Area (mm 2 ) Process (um) Comments [1] 12-bit ADC [2] 12-bit ADC [3] 10-bit ADC [4] 15-bit ADC [5] 13-bit ADC 200k

More information

A low-variation on-resistance CMOS sampling switch for high-speed high-performance applications

A low-variation on-resistance CMOS sampling switch for high-speed high-performance applications A low-variation on-resistance CMOS sampling switch for high-speed high-performance applications MohammadReza Asgari 1 and Omid Hashemipour 2a) 1 Microelectronic Lab, Shahid Beheshti University, G. C. Tehran,

More information

A b dual-mode low-noise pipeline ADC for high-end CMOS image sensors

A b dual-mode low-noise pipeline ADC for high-end CMOS image sensors Analog Integr Circ Sig Process (2014) 80:437 447 DOI 10.1007/s10470-014-0356-3 A 14 10 b dual-mode low-noise pipeline ADC for high-end CMOS image sensors Suk-Hee Cho Jun-Sang Park Gil-Cho Ahn Seung-Hoon

More information

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER M. Taherzadeh-Sani, R. Lotfi, and O. Shoaei ABSTRACT A novel class-ab architecture for single-stage operational amplifiers is presented. The structure

More information

THE pipelined ADC architecture has been adopted into

THE pipelined ADC architecture has been adopted into 1468 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 A 1.8-V 67-mW 10-bit 100-MS/s Pipelined ADC Using Time-Shifted CDS Technique Jipeng Li, Member, IEEE, and Un-Ku Moon, Senior Member,

More information

Acronyms. ADC analog-to-digital converter. BEOL back-end-of-line

Acronyms. ADC analog-to-digital converter. BEOL back-end-of-line Acronyms ADC analog-to-digital converter BEOL back-end-of-line CDF cumulative distribution function CMOS complementary metal-oxide-semiconductor CPU central processing unit CR charge-redistribution CS

More information

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract , pp.17-22 http://dx.doi.org/10.14257/ijunesst.2016.9.8.02 A 12-bit 100kS/s SAR ADC for Biomedical Applications Sung-Chan Rho 1 and Shin-Il Lim 2 1 Department of Electronics and Computer Engineering, Seokyeong

More information

SUCCESSIVE approximation register (SAR) analog-todigital

SUCCESSIVE approximation register (SAR) analog-todigital IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 4, APRIL 2010 731 A 10-bit 50-MS/s SAR ADC With a Monotonic Capacitor Switching Procedure Chun-Cheng Liu, Student Member, IEEE, Soon-Jyh Chang, Member,

More information

CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE

CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE 3.1 INTRODUCTION An ADC is a device which converts a continuous quantity into discrete digital signal. Among its types, pipelined

More information

Publication [P3] By choosing to view this document, you agree to all provisions of the copyright laws protecting it.

Publication [P3] By choosing to view this document, you agree to all provisions of the copyright laws protecting it. Publication [P3] Copyright c 2006 IEEE. Reprinted, with permission, from Proceedings of IEEE International Solid-State Circuits Conference, Digest of Technical Papers, 5-9 Feb. 2006, pp. 488 489. This

More information

Two- Path Band- Pass Σ- Δ Modulator with 40- MHz IF 72- db DR at 1- MHz Bandwidth Consuming 16 mw

Two- Path Band- Pass Σ- Δ Modulator with 40- MHz IF 72- db DR at 1- MHz Bandwidth Consuming 16 mw I. Galdi, E. Bonizzoni, F. Maloberti, G. Manganaro, P. Malcovati: "Two-Path Band- Pass Σ-Δ Modulator with 40-MHz IF 72-dB DR at 1-MHz Bandwidth Consuming 16 mw"; 33rd European Solid State Circuits Conf.,

More information

Design of Pipeline Analog to Digital Converter

Design of Pipeline Analog to Digital Converter Design of Pipeline Analog to Digital Converter Vivek Tripathi, Chandrajit Debnath, Rakesh Malik STMicroelectronics The pipeline analog-to-digital converter (ADC) architecture is the most popular topology

More information

Proposing. An Interpolated Pipeline ADC

Proposing. An Interpolated Pipeline ADC Proposing An Interpolated Pipeline ADC Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Lab. Background 38GHz long range mm-wave system Role of long range mm-wave Current Optical

More information

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop Seong-Jin An 1 and Young-Shig Choi 2 Department of Electronic Engineering, Pukyong National University

More information

A design of 16-bit adiabatic Microprocessor core

A design of 16-bit adiabatic Microprocessor core 194 A design of 16-bit adiabatic Microprocessor core Youngjoon Shin, Hanseung Lee, Yong Moon, and Chanho Lee Abstract A 16-bit adiabatic low-power Microprocessor core is designed. The processor consists

More information

A 2.5 V 109 db DR ADC for Audio Application

A 2.5 V 109 db DR ADC for Audio Application 276 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.10, NO.4, DECEMBER, 2010 A 2.5 V 109 db DR ADC for Audio Application Gwangyol Noh and Gil-Cho Ahn Abstract A 2.5 V feed-forward second-order deltasigma

More information

All-digital ramp waveform generator for two-step single-slope ADC

All-digital ramp waveform generator for two-step single-slope ADC All-digital ramp waveform generator for two-step single-slope ADC Tetsuya Iizuka a) and Kunihiro Asada VLSI Design and Education Center (VDEC), University of Tokyo 2-11-16 Yayoi, Bunkyo-ku, Tokyo 113-0032,

More information

Architectures and circuits for timeinterleaved. Sandeep Gupta Teranetics, Santa Clara, CA

Architectures and circuits for timeinterleaved. Sandeep Gupta Teranetics, Santa Clara, CA Architectures and circuits for timeinterleaved ADC s Sandeep Gupta Teranetics, Santa Clara, CA Outline Introduction to time-interleaved architectures. Conventional Sampling architectures and their application

More information

A Multichannel Pipeline Analog-to-Digital Converter for an Integrated 3-D Ultrasound Imaging System

A Multichannel Pipeline Analog-to-Digital Converter for an Integrated 3-D Ultrasound Imaging System 1266 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 7, JULY 2003 A Multichannel Pipeline Analog-to-Digital Converter for an Integrated 3-D Ultrasound Imaging System Kambiz Kaviani, Student Member,

More information

A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS

A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS Shruti Gatade 1, M. Nagabhushan 2, Manjunath.R 3 1,3 Student, Department of ECE, M S Ramaiah Institute of Technology, Bangalore (India) 2 Assistant

More information

A NOVEL MDAC SUITABLE FOR A 14B, 120MS/S ADC, USING A NEW FOLDED CASCODE OP-AMP

A NOVEL MDAC SUITABLE FOR A 14B, 120MS/S ADC, USING A NEW FOLDED CASCODE OP-AMP A NOVEL MDAC SUITABLE FOR A 14B, 120MS/S ADC, USING A NEW FOLDED CASCODE OP-AMP Noushin Ghaderi 1, Khayrollah Hadidi 2 and Bahar Barani 3 1 Faculty of Engineering, Shahrekord University, Shahrekord, Iran

More information

A 10 bit, 1.8 GS/s Time Interleaved Pipeline ADC

A 10 bit, 1.8 GS/s Time Interleaved Pipeline ADC A 10 bit, 1.8 GS/s Time Interleaved Pipeline ADC M. Åberg 2, A. Rantala 2, V. Hakkarainen 1, M. Aho 1, J. Riikonen 1, D. Gomes Martin 2, K. Halonen 1 1 Electronic Circuit Design Laboratory Helsinki University

More information

A single-slope 80MS/s ADC using two-step time-to-digital conversion

A single-slope 80MS/s ADC using two-step time-to-digital conversion A single-slope 80MS/s ADC using two-step time-to-digital conversion The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

IN the design of the fine comparator for a CMOS two-step flash A/D converter, the main design issues are offset cancelation

IN the design of the fine comparator for a CMOS two-step flash A/D converter, the main design issues are offset cancelation JOURNAL OF STELLAR EE315 CIRCUITS 1 A 60-MHz 150-µV Fully-Differential Comparator Erik P. Anderson and Jonathan S. Daniels (Invited Paper) Abstract The overall performance of two-step flash A/D converters

More information

EE247 Lecture 23. Advanced calibration techniques. Compensating inter-stage amplifier non-linearity Calibration via parallel & slow ADC

EE247 Lecture 23. Advanced calibration techniques. Compensating inter-stage amplifier non-linearity Calibration via parallel & slow ADC EE247 Lecture 23 Pipelined ADCs Combining the bits Stage implementation Circuits Noise budgeting Advanced calibration techniques Compensating inter-stage amplifier non-linearity Calibration via parallel

More information

A CMOS Analog Front-End for Driving a High-Speed SAR ADC in Low-Power Ultrasound Imaging Systems

A CMOS Analog Front-End for Driving a High-Speed SAR ADC in Low-Power Ultrasound Imaging Systems A CMOS Analog Front-End for Driving a High-Speed SAR ADC in Low-Power Ultrasound Imaging Systems Taehoon Kim, Han Yang, Sangmin Shin, Hyongmin Lee and Suhwan Kim Electrical and Computer Engineering and

More information

A Successive Approximation ADC based on a new Segmented DAC

A Successive Approximation ADC based on a new Segmented DAC A Successive Approximation ADC based on a new Segmented DAC segmented current-mode DAC successive approximation ADC bi-direction segmented current-mode DAC DAC INL 0.47 LSB DNL 0.154 LSB DAC 3V 8 2MS/s

More information

A 130-NM CMOS 400 MHZ 8-BIT LOW POWER BINARY WEIGHTED CURRENT STEERING DAC

A 130-NM CMOS 400 MHZ 8-BIT LOW POWER BINARY WEIGHTED CURRENT STEERING DAC A 130-NM CMOS 400 MHZ 8-BIT LOW POWER BINARY WEIGHTED CURRENT STEERING DAC Ashok Kumar Adepu and Kiran Kumar Kolupuri Department of Electronics and communication Engineering,MVGR College of Engineering,

More information

Scalable and Synthesizable. Analog IPs

Scalable and Synthesizable. Analog IPs Scalable and Synthesizable Analog IPs Akira Matsuzawa Tokyo Institute of Technology Background and Motivation 1 Issues It becomes more difficult to obtain good analog IPs Insufficient design resources

More information

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren Joint International Mechanical, Electronic and Information Technology Conference (JIMET 2015) A 14-bit 2.5 GS/s based on Multi-Clock Synchronization Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng,

More information

Design of High-Resolution MOSFET-Only Pipelined ADCs with Digital Calibration

Design of High-Resolution MOSFET-Only Pipelined ADCs with Digital Calibration Design of High-Resolution MOSET-Only Pipelined ADCs with Digital Calibration Hamed Aminzadeh, Mohammad Danaie, and Reza Lotfi Integrated Systems Lab., EE Dept., erdowsi University of Mashhad, Mashhad,

More information

WITH the rapid evolution of liquid crystal display (LCD)

WITH the rapid evolution of liquid crystal display (LCD) IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 2, FEBRUARY 2008 371 A 10-Bit LCD Column Driver With Piecewise Linear Digital-to-Analog Converters Chih-Wen Lu, Member, IEEE, and Lung-Chien Huang Abstract

More information

A 12b 50MS/s 2.1mW SAR ADC with redundancy and digital background calibration

A 12b 50MS/s 2.1mW SAR ADC with redundancy and digital background calibration A b 5MS/s.mW SAR ADC with redundancy and digital background calibration The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As

More information

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.6, NO.4, DECEMBER, 2006 281 A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration Tae-Geun Yu, Seong-Ik Cho, and Hang-Geun Jeong

More information

THE TREND toward implementing systems with low

THE TREND toward implementing systems with low 724 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 7, JULY 1995 Design of a 100-MHz 10-mW 3-V Sample-and-Hold Amplifier in Digital Bipolar Technology Behzad Razavi, Member, IEEE Abstract This paper

More information

A 2.4 GHz to 3.86 GHz digitally controlled oscillator with 18.5 khz frequency resolution using single PMOS varactor

A 2.4 GHz to 3.86 GHz digitally controlled oscillator with 18.5 khz frequency resolution using single PMOS varactor LETTER IEICE Electronics Express, Vol.9, No.24, 1842 1848 A 2.4 GHz to 3.86 GHz digitally controlled oscillator with 18.5 khz frequency resolution using single PMOS varactor Yangyang Niu, Wei Li a), Ning

More information

Study of High Speed Buffer Amplifier using Microwind

Study of High Speed Buffer Amplifier using Microwind Study of High Speed Buffer Amplifier using Microwind Amrita Shukla M Tech Scholar NIIST Bhopal, India Puran Gaur HOD, NIIST Bhopal India Braj Bihari Soni Asst. Prof. NIIST Bhopal India ABSTRACT This paper

More information

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible A Forward-Body-Bias Tuned 450MHz Gm-C 3 rd -Order Low-Pass Filter in 28nm UTBB FD-SOI with >1dBVp IIP3 over a 0.7-to-1V Supply Joeri Lechevallier 1,2, Remko Struiksma 1, Hani Sherry 2, Andreia Cathelin

More information

A 2-bit/step SAR ADC structure with one radix-4 DAC

A 2-bit/step SAR ADC structure with one radix-4 DAC A 2-bit/step SAR ADC structure with one radix-4 DAC M. H. M. Larijani and M. B. Ghaznavi-Ghoushchi a) School of Engineering, Shahed University, Tehran, Iran a) ghaznavi@shahed.ac.ir Abstract: In this letter,

More information

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Bootstrapped ring oscillator with feedforward

More information

EE247 Lecture 23. EECS 247 Lecture 23 Pipelined ADCs 2008 H.K. Page 1. Pipeline ADC Block Diagram DAC ADC. V res2. Stage 2 B 2.

EE247 Lecture 23. EECS 247 Lecture 23 Pipelined ADCs 2008 H.K. Page 1. Pipeline ADC Block Diagram DAC ADC. V res2. Stage 2 B 2. EE247 Lecture 23 Pipelined ADCs (continued) Effect gain stage, sub-dac non-idealities on overall ADC performance Digital calibration (continued) Correction for inter-stage gain nonlinearity Implementation

More information

A 10-Bit 500-MS/s 55-mW CMOS ADC Ashutosh Verma, Member, IEEE, and Behzad Razavi, Fellow, IEEE

A 10-Bit 500-MS/s 55-mW CMOS ADC Ashutosh Verma, Member, IEEE, and Behzad Razavi, Fellow, IEEE IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 11, NOVEMBER 2009 3039 A 10-Bit 500-MS/s 55-mW CMOS ADC Ashutosh Verma, Member, IEEE, and Behzad Razavi, Fellow, IEEE Abstract A pipelined ADC incorporates

More information

Low-Power Circuit Techniques for Low-Voltage Pipelined ADCs Based on Switched-Opamp Architecture

Low-Power Circuit Techniques for Low-Voltage Pipelined ADCs Based on Switched-Opamp Architecture IEICE TRANS. FUNDAMENTALS, VOL.E91 A, NO.2 FEBRUARY 2008 461 PAPER Special Section on Analog Circuit Techniques and Related Topics Low-Power Circuit Techniques for Low-Voltage Pipelined ADCs Based on Switched-Opamp

More information

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver 3.1 INTRODUCTION As last chapter description, we know that there is a nonlinearity relationship between luminance

More information

A 7-GHz 1.8-dB NF CMOS Low-Noise Amplifier

A 7-GHz 1.8-dB NF CMOS Low-Noise Amplifier 852 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 7, JULY 2002 A 7-GHz 1.8-dB NF CMOS Low-Noise Amplifier Ryuichi Fujimoto, Member, IEEE, Kenji Kojima, and Shoji Otaka Abstract A 7-GHz low-noise amplifier

More information

Digital Calibration for a 2-Stage Cyclic Analog-to-Digital Converter Used in a 33-Mpixel 120-fps SHV CMOS Image Sensor

Digital Calibration for a 2-Stage Cyclic Analog-to-Digital Converter Used in a 33-Mpixel 120-fps SHV CMOS Image Sensor ITE Trans. on MTA Vol., No., pp. -7 () Copyright by ITE Transactions on Media Technology and Applications (MTA) Digital Calibration for a -Stage Cyclic Analog-to-Digital Converter Used in a -Mpixel -fps

More information

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage D. Aksin, M.A. Al- Shyoukh, F. Maloberti: "An 11 Bit Sub-Ranging SAR ADC with Input Signal Range of Twice Supply Voltage"; IEEE International Symposium on Circuits and Systems, ISCAS 2007, New Orleans,

More information

Low power 9-bit pipelined A/D and 8-bit self-calibrated D/A converters for a DSP system

Low power 9-bit pipelined A/D and 8-bit self-calibrated D/A converters for a DSP system BULLETIN OF THE POLISH ACADEMY OF SCIENCES TECHNICAL SCIENCES, Vol. 61, No. 4, 2013 DOI: 10.2478/bpasts-2013-0105 Low power 9-bit pipelined A/D and 8-bit self-calibrated D/A converters for a DSP system

More information

STT-MRAM Read-circuit with Improved Offset Cancellation

STT-MRAM Read-circuit with Improved Offset Cancellation JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.347 ISSN(Online) 2233-4866 STT-MRAM Read-circuit with Improved Offset

More information

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier Hyunui Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline Background Body voltage controlled

More information

IN RECENT years, low-dropout linear regulators (LDOs) are

IN RECENT years, low-dropout linear regulators (LDOs) are IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 9, SEPTEMBER 2005 563 Design of Low-Power Analog Drivers Based on Slew-Rate Enhancement Circuits for CMOS Low-Dropout Regulators

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

DESIGN OF A 500MHZ, 4-BIT LOW POWER ADC FOR UWB APPLICATION

DESIGN OF A 500MHZ, 4-BIT LOW POWER ADC FOR UWB APPLICATION DESIGN OF A 500MHZ, 4-BIT LOW POWER ADC FOR UWB APPLICATION SANTOSH KUMAR PATNAIK 1, DR. SWAPNA BANERJEE 2 1,2 E & ECE Department, Indian Institute of Technology, Kharagpur, Kharagpur, India Abstract-This

More information

RESISTOR-STRING digital-to analog converters (DACs)

RESISTOR-STRING digital-to analog converters (DACs) IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 6, JUNE 2006 497 A Low-Power Inverted Ladder D/A Converter Yevgeny Perelman and Ran Ginosar Abstract Interpolating, dual resistor

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

Implementation of Pipelined ADC Using Open- Loop Residue Amplification

Implementation of Pipelined ADC Using Open- Loop Residue Amplification Implementation of Pipelined ADC Using Open- Loop Residue Amplification V.Kamalakannan 1, S.Tamilselvan 2 1 Research Scholar, Department of Electronics and Communication, Pondicherry Engineering College,

More information

NEW WIRELESS applications are emerging where

NEW WIRELESS applications are emerging where IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 709 A Multiply-by-3 Coupled-Ring Oscillator for Low-Power Frequency Synthesis Shwetabh Verma, Member, IEEE, Junfeng Xu, and Thomas H. Lee,

More information

Analysis of 1=f Noise in CMOS Preamplifier With CDS Circuit

Analysis of 1=f Noise in CMOS Preamplifier With CDS Circuit IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 49, NO. 4, AUGUST 2002 1819 Analysis of 1=f Noise in CMOS Preamplifier With CDS Circuit Tae-Hoon Lee, Gyuseong Cho, Hee Joon Kim, Seung Wook Lee, Wanno Lee, and

More information

12-bit 50/100/125 MSPS 1-channel ADC

12-bit 50/100/125 MSPS 1-channel ADC SPECIFICATION 1 FEATURES TSMC CMOS 65 nm High speed pipelined ADC Resolution 12 bit Conversion rate 50/100/125 MHz Different power supplies for digital (1.2 V) and analog (1.2 V) parts Low standby current

More information

IP Specification. 12-Bit 125 MSPS Duel ADC in SMIC40L IPS_S40L_ADC12X2_125M FEATURES APPLICATIONS GENERAL DESCRIPTION. Single Supply 1.

IP Specification. 12-Bit 125 MSPS Duel ADC in SMIC40L IPS_S40L_ADC12X2_125M FEATURES APPLICATIONS GENERAL DESCRIPTION. Single Supply 1. 12-Bit 125 MSPS Duel ADC in SMIC40L FEATURES Single Supply 1.15V 125 MSPS Conversion Rate AVDD AVSS VDD VSS Current Consumption 45 mw @ 125 MSPS Dynamic Performance @ 125MSPS 65 dbfs SNR -68 dbc THD 70

More information

CMOS ADC & DAC Principles

CMOS ADC & DAC Principles CMOS ADC & DAC Principles Willy Sansen KULeuven, ESAT-MICAS Leuven, Belgium willy.sansen@esat.kuleuven.be Willy Sansen 10-05 201 Table of contents Definitions Digital-to-analog converters Resistive Capacitive

More information

NOWADAYS, multistage amplifiers are growing in demand

NOWADAYS, multistage amplifiers are growing in demand 1690 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 51, NO. 9, SEPTEMBER 2004 Advances in Active-Feedback Frequency Compensation With Power Optimization and Transient Improvement Hoi

More information

DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS

DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS by Yves Geerts Alcatel Microelectronics, Belgium Michiel Steyaert KU Leuven, Belgium and Willy Sansen KU Leuven,

More information

Chapter 13: Introduction to Switched- Capacitor Circuits

Chapter 13: Introduction to Switched- Capacitor Circuits Chapter 13: Introduction to Switched- Capacitor Circuits 13.1 General Considerations 13.2 Sampling Switches 13.3 Switched-Capacitor Amplifiers 13.4 Switched-Capacitor Integrator 13.5 Switched-Capacitor

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

Pipelined Analog-to-Digital Converters

Pipelined Analog-to-Digital Converters Department of Electrical and Computer Engineering Pipelined Analog-to-Digital Converters Vishal Saxena Vishal Saxena -1- Multi-Step A/D Conversion Basics Vishal Saxena -2-2 Motivation for Multi-Step Converters

More information

Maximizing GSPS ADC SFDR Performance: Sources of Spurs and Methods of Mitigation

Maximizing GSPS ADC SFDR Performance: Sources of Spurs and Methods of Mitigation Maximizing GSPS ADC SFDR Performance: Sources of Spurs and Methods of Mitigation Marjorie Plisch Applications Engineer, Signal Path Solutions November 2012 1 Outline Overview of the issue Sources of spurs

More information

A new class AB folded-cascode operational amplifier

A new class AB folded-cascode operational amplifier A new class AB folded-cascode operational amplifier Mohammad Yavari a) Integrated Circuits Design Laboratory, Department of Electrical Engineering, Amirkabir University of Technology, Tehran, Iran a) myavari@aut.ac.ir

More information

IMPLEMENTING THE 10-BIT, 50MS/SEC PIPELINED ADC

IMPLEMENTING THE 10-BIT, 50MS/SEC PIPELINED ADC 98 CHAPTER 5 IMPLEMENTING THE 0-BIT, 50MS/SEC PIPELINED ADC 99 5.0 INTRODUCTION This chapter is devoted to describe the implementation of a 0-bit, 50MS/sec pipelined ADC with different stage resolutions

More information

SOLIMAN A. MAHMOUD Department of Electrical Engineering, Faculty of Engineering, Cairo University, Fayoum, Egypt

SOLIMAN A. MAHMOUD Department of Electrical Engineering, Faculty of Engineering, Cairo University, Fayoum, Egypt Journal of Circuits, Systems, and Computers Vol. 14, No. 4 (2005) 667 684 c World Scientific Publishing Company DIGITALLY CONTROLLED CMOS BALANCED OUTPUT TRANSCONDUCTOR AND APPLICATION TO VARIABLE GAIN

More information

A Low-Power 6-b Integrating-Pipeline Hybrid Analog-to-Digital Converter

A Low-Power 6-b Integrating-Pipeline Hybrid Analog-to-Digital Converter A Low-Power 6-b Integrating-Pipeline Hybrid Analog-to-Digital Converter Quentin Diduck, Martin Margala * Electrical and Computer Engineering Department 526 Computer Studies Bldg., PO Box 270231 University

More information

A Low-Power Capacitive Charge Pump Based Pipelined ADC Imran Ahmed, Member, IEEE, Jan Mulder, and David A. Johns, Fellow, IEEE

A Low-Power Capacitive Charge Pump Based Pipelined ADC Imran Ahmed, Member, IEEE, Jan Mulder, and David A. Johns, Fellow, IEEE 1016 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 5, MAY 2010 A Low-Power Capacitive Charge Pump Based Pipelined ADC Imran Ahmed, Member, IEEE, Jan Mulder, and David A. Johns, Fellow, IEEE Abstract

More information

A Low Power Small Area Multi-bit Quantizer with A Capacitor String in Sigma-Delta Modulator

A Low Power Small Area Multi-bit Quantizer with A Capacitor String in Sigma-Delta Modulator A Low Power Small Area Multi-bit uantizer with A Capacitor String in Sigma-Delta Modulator Xuia Wang, Jian Xu, and Xiaobo Wu Abstract An ultra-low power area-efficient fully differential multi-bit quantizer

More information

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.3, JUNE, 2014 http://dx.doi.org/10.5573/jsts.2014.14.3.331 A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

More information

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 11.9 A Single-Chip Linear CMOS Power Amplifier for 2.4 GHz WLAN Jongchan Kang 1, Ali Hajimiri 2, Bumman Kim 1 1 Pohang University of Science

More information

1-13GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS

1-13GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS -3GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS Hyohyun Nam and Jung-Dong Park a Division of Electronics and Electrical Engineering, Dongguk University, Seoul E-mail

More information

A SAR-Assisted Two-Stage Pipeline ADC Chun C. Lee, Member, IEEE, and Michael P. Flynn, Senior Member, IEEE

A SAR-Assisted Two-Stage Pipeline ADC Chun C. Lee, Member, IEEE, and Michael P. Flynn, Senior Member, IEEE IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 46, NO. 4, APRIL 2011 859 A SAR-Assisted Two-Stage Pipeline ADC Chun C. Lee, Member, IEEE, and Michael P. Flynn, Senior Member, IEEE Abstract Successive approximation

More information

Design of a Low Power Current Steering Digital to Analog Converter in CMOS

Design of a Low Power Current Steering Digital to Analog Converter in CMOS Design of a Low Power Current Steering Digital to Analog Converter in CMOS Ranjan Kumar Mahapatro M. Tech, Dept. of ECE Centurion University of Technology & Management Paralakhemundi, India Sandipan Pine

More information

A Digitally Enhanced 1.8-V 15-b 40- Msample/s CMOS Pipelined ADC

A Digitally Enhanced 1.8-V 15-b 40- Msample/s CMOS Pipelined ADC A Digitally Enhanced.8-V 5-b 4- Msample/s CMOS d ADC Eric Siragusa and Ian Galton University of California San Diego Now with Analog Devices San Diego California Outline Conventional PADC Example Digitally

More information

A low power 12-bit and 25-MS/s pipelined ADC for the ILC/Ecal integrated readout

A low power 12-bit and 25-MS/s pipelined ADC for the ILC/Ecal integrated readout A low power 12-bit and 25-MS/s pipelined ADC for the ILC/Ecal integrated readout F. Rarbi, D. Dzahini, L. Gallin-Martel To cite this version: F. Rarbi, D. Dzahini, L. Gallin-Martel. A low power 12-bit

More information

Lecture 3 Switched-Capacitor Circuits Trevor Caldwell

Lecture 3 Switched-Capacitor Circuits Trevor Caldwell Advanced Analog Circuits Lecture 3 Switched-Capacitor Circuits Trevor Caldwell trevor.caldwell@analog.com Lecture Plan Date Lecture (Wednesday 2-4pm) Reference Homework 2017-01-11 1 MOD1 & MOD2 ST 2, 3,

More information