2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS

Size: px
Start display at page:

Download "2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS"

Transcription

1 2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS November 30 - December 3, 2008 Venetian Macao Resort-Hotel Macao, China IEEE Catalog Number: CFP08APC-USB ISBN: Library of Congress: IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works must be obtained from the IEEE. For CD-ROM technical questions contact: Alliance Management Group, LLC info@amg-corp.com Phone:

2 A Low Offset Rail-to-Rail 12b 2MS/s 0.18µm CMOS Cyclic ADC Young-Ju Kim (1), Hee-Cheol Choi (1), Pil-Seon Yoo (1), Dong-Suk Lee (1), Joong-Ho Choi (2), Seung-Hoon Lee (1) (1) Dept. of Electronic Engineering, Sogang University, #1 Sinsoo-Dong, Mapo-Gu, Seoul, , Korea (2) Dept. of Electrical and Computer Engineering, University of Seoul, Jeonnong-Dong, Dongdaemun-Gu, Seoul, Korea Abstract - A 12b 2MS/s cyclic ADC achieves low power consumption with a single-ended rail-to-rail input signal range of 3.3Vp-p. The proposed voltage reference scheme directly employing power supply voltages implements an offset voltage less than 1mV without well-known calibration and trimming techniques. The prototype ADC in a 0.18mm CMOS technology demonstrates the effective number of bits of for a 100kHz full-scale input at 2MS/s. The ADC with an active die area of 0.12mm² consumes 3.6mW at 2MS/s and 3.3V(analog)/1.8V (digital). I. INTRODUCTION Highly efficient analog-to-digital converters (ADCs) based on delta-sigma modulator, successive approximation register (SAR), and cyclic architectures have been commonly employed for audio and sensor applications such as voice recoding circuits, touch screen, power management units (PMU), battery measurement systems, and micro electro mechanical systems (MEMS) operating at a several hundreds of ks/s to several MS/s [1]. Of those applications, many researches have been carried out to reduce the offset voltages of ADCs, particularly in X-Y position converters for a touch screen interface used to gauge the precise voltages of sensing nodes and battery fuel gauge systems [2]. The reference voltage offset errors are caused by device mismatches in a differential input pair of operational amplifiers (op-amps) and passive or active elements for a reference voltage generator with gain errors of an input sample-and-hold amplifier (SHA). In addition, one of the main offset sources in single-ended signal applications comes from the mismatch of a common mode voltage (VCOM) applied to the complementary input of a differential op-amp converting a single-ended input signal to a differential output signal. This work proposes a SHA-free input sampling scheme and a passive device-free voltage reference scheme, reducing top and bottom reference offsets without additional offset cancellation and trimming circuits [2], [3]. II. PROPOSED ADC ARCHITECTURE AND CIRCUIT IMPLEMENTATION A. ADC Architecture The proposed 12b 2MS/s ADC based on a two-stage (2.5- bits/stage) cyclic architecture consists of two multiplying D/A Fig. 1. Proposed ADC and reference scheme. converters (MDACs), two flash ADCs, a reference current generator, a clock generator, and digital correction logic (DCL), as shown in Fig. 1. The two-stage based cyclic architecture shows a relatively optimized power dissipation and reasonable chip area at a sampling rate of several MS/s. The proposed cyclic ADC needs 3 clock cycles to produce a full single 12b binary output corresponding to an analog input. In the proposed cyclic ADC, the front-end MDAC with a high DC gain samples a single-ended input signal instead of an extra SHA [4]. It greatly reduces the offset error from a finite DC gain of the SHA, while minimizing power consumption and improving signal-to-noise ratio (SNR). Analog functional circuit blocks are designed with 3.3V devices employing thick-gate oxide while the clock generator and DCL are optimized with 1.8V devices based on thin-gate oxide to reduce chip area. Digital level shifters with a crosscoupled architecture are located in the interface between 3.3V and 1.8V circuit blocks. B. Low-Offset MDAC1 In conventional ADCs, two reference voltages, REFT and REFB, are generated approximately at 3/4 and 1/4 levels of a full-scale signal along with offset errors caused by passive or active element mismatches in the internal voltage generator [5]. On the other hand, the proposed MDACs employ two reference voltages of VRT and VRB, corresponding to the maximum and minimum voltage levels of a full-scale input signal, respectively, as illustrated in Fig. 1. For a rail-to-rail input signal, VRT and VRB are connected to two power supplies, VDD and GND. There is no passive or active device in the reference voltages for the MDAC, which affects the /08/$ IEEE. 17

3 TABLE I MDAC CAPACITOR ARRAY CONNECTION DURING RESIDUE VOLTAGE AMPLIFICATION. Fig. 2. Schematic of the proposed MADC1 with the front-end AMUX. accuracy of the ADC. Inaccurate reference voltages, REFT and REFB, produced from a resistor string, are used only for the sub-ranging flash ADCs in the proposed cyclic ADC. Fig.2 shows a sampling scheme of the MDAC1 with the front-end analog MUX (AMUX). The proposed voltage reference scheme reduces the ADC power consumption by increasing a feedback factor compared to the conventional design, which has extra capacitors not used for an input sampling. During the input sampling mode, the upper capacitor array samples an input signal, VIN, while the lower capacitor array samples reference voltages, VRT and VRB, instead of VCOM, as a reference voltage for a single-ended input. The proposed input sampling network reduces offset errors caused by a common-mode-voltage sampling scheme in the conventional MDACs. During the next amplification mode, Fig. 3. Proposed two-stage switched op-amp. the MDAC1 amplifies a residue voltage, which is the difference between a sampled input and a reconstructed analog signal from a digital code of the flash ADC. The residue voltage amplifying procedure in Fig. 2 is described in Table I. C. Two-Stage Switched OP-AMP The MDAC1 and MDAC2 employ a two-stage amplifier to achieve a DC gain and output swing margin sufficient for 12b accuracy as shown in Fig. 3. The folded-cascode architecture with an NMOS input pair in the first stage amplifier primarily achieves a high DC gain while the common-source architecture with a tail current source in the second stage amplifier obtains a high output swing. The two- 18

4 Fig. 4. Die photo of the proposed ADC. Fig. 6. Measured FFT plot (f IN = 100kHz and f S = 2MS/s). Fig. 5. Measured DNL and INL. stage op-amp performs an offset cancellation with a closedloop sampling technique [6]. During the sampling mode, the input nodes (INT and INC) and first stage output nodes (OT1 and OC1) are connected in a unity-gain feedback by the switch transistors of M1 and M2. At the same time, two compensation capacitors are disconnected by the switch transistors of M3 and M4 to overcome the bandwidth reduction of the closed-loop sampling scheme. Moreover, the proposed two-stage op-amp adopts the cascoded compensation and switched op-amp power-reduction techniques simultaneously to reduce power consumption and active area [7], [8]. III. MEASURED PERFORMANCES The prototype two-stage cyclic ADC is designed and implemented in a 0.18µm single-poly six-metal CMOS process. It consumes 3.6mW at an 8MHz clock required for a Fig. 7. Measured SNDR and SFDR. 2MS/s conversion rate with 3.3V and 1.8V power supplies used for analog and digital circuit blocks, respectively. The active die area is 0.12mm² (=330µm 365µm), as shown in Fig. 4. As illustrated in Fig. 5, the measured differential non-linearity (DNL) and integral non-linearity (INL) are within ±0.25LSB and ±0.69LSB, respectively. At a conversion rate of 2MS/s, the measured signal-to-noise-anddistortion ratio (SNDR) and spurious-free dynamic range (SFDR) are 70.9dB and 81.7dB, respectively, with a 100kHz and 3.3Vp-p input signal, as shown in Fig. 6. The SNDR and SFDR of Fig. 7 are measured with increasing input frequencies at a sampling frequency of 2MS/s. As shown in Fig. 7, the prototype ADC maintains a SNDR and SFDR of over 70dB and 80dB with input frequencies increased to 200kHz. The prototype cyclic ADC with the proposed reference scheme achieves low top and bottom offset errors of 0.77LSB and 0.35LSB, respectively, which are less than 1mV. The overall ADC performance is summarized in Table II. 19

5 TABLE II PERFORMANCE SUMMARY OF THE PROTOTYPE ADC IV. CONCLUSION This work proposes a low-offset rail-to-rail 12b 2MS/s cyclic and pipeline ADC for audio and sensor application systems. The propose ADC shows a measured DNL and INL of ±0.25LSB and ±0.69LSB, and achieves low top and bottom offset errors of 0.77LSB and 0.35LSB levels with a single-ended 3.3Vp-p analog input signal. The prototype ADC shows a power consumption of 3.6mW with an active die area of 0.12mm 2. ACKNOWLEDGEMENTS This work was supported by the IDEC of KAIST and "System IC 2010" project of Korea Ministry of Knowledge Economy. REFERENCES [1] Y. Yang, T. Sculley, and J. Abraham, A single die 124dB stereo audio delta sigma ADC with 111dB THD, in Proc. European Solid-State Circuits Conf., pp , Sept [2] P. G. Blanken and S.E.J. Menten, A 10µV-Offset 8kHz Bandwidth 4 th - Order Chopped SD A/D Converter for Battery Management, in ISSCC Dig. Tech. Papers, pp , Feb [3] M. Furuta, S. Kawahito, T. Inoue, Y. Nishikawa, A cyclic A/D converter with pixel noise and column-wise offset cancellation for CMOS image sensors, in Proc. European Solid-State Circuits Conf., pp , Sept [4] H. C. Choi, S. B. You, H. Y. Lee, H. J. Park, and J. W. Kim, A calibration-free 3V 16b 500kS/s 6mW 0.5mm 2 ADC with 0.13um CMOS, in Symp. VLSI Circuits Dig. Tech. Papers, pp , June [5] Y. J. Cho and S. H. Lee, "An 11b 70 MHz 1.2 mm 2 49 mw 0.18 um CMOS ADC with On-Chip Current/Voltage References," IEEE Trans. Circuits Syst. I, vol. 52, no. 10, pp , Oct [6] S. C. Lee, K. D. Kim, J. K. Kwon, J. D. Kim, and S. H. Lee, "A 10bit 400MS/s 160mW 0.13um CMOS Dual-Channel Pipeline ADC Without Channel Mismatch Calibration," IEEE J. Solid-State Circuits, vol. 41, no. 7, pp , July [7] B. Ahuja, An Improved Frequency Compensation Technique for CMOS Operational Amplifiers, IEEE J. Solid-State Circuits, vol. 18, no. 6, pp , Dec [8] H. C. Kim, D. K. Jeong, and W. C. Kim, A 30mW 8b 200MS/s Pipelined CMOS ADC Using a Switched-Opamp Technique, in ISSCC Dig. Tech. Papers, pp , Feb

A Rail-to-Rail Input 12b 2 MS/s 0.18 µm CMOS Cyclic ADC for Touch Screen Applications

A Rail-to-Rail Input 12b 2 MS/s 0.18 µm CMOS Cyclic ADC for Touch Screen Applications 160 HEE-CHEOL CHOI et al : A RAIL-TO-RAIL INPUT 12B 2 MS/S 0.18 µm CMOS CYCLIC ADC FOR TOUCH SCREEN APPLICATIONS A Rail-to-Rail Input 12b 2 MS/s 0.18 µm CMOS Cyclic ADC for Touch Screen Applications Hee-Cheol

More information

RECENTLY, low-voltage and low-power circuit design

RECENTLY, low-voltage and low-power circuit design IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 4, APRIL 2008 319 A Programmable 0.8-V 10-bit 60-MS/s 19.2-mW 0.13-m CMOS ADC Operating Down to 0.5 V Hee-Cheol Choi, Young-Ju

More information

620 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 3, MARCH /$ IEEE

620 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 3, MARCH /$ IEEE 620 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 3, MARCH 2010 A 12 bit 50 MS/s CMOS Nyquist A/D Converter With a Fully Differential Class-AB Switched Op-Amp Young-Ju Kim, Hee-Cheol Choi, Gil-Cho

More information

/$ IEEE

/$ IEEE 894 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 56, NO. 5, MAY 2009 A 1.2-V 12-b 120-MS/s SHA-Free Dual-Channel Nyquist ADC Based on Midcode Calibration Hee-Cheol Choi, Young-Ju Kim,

More information

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 25.4 A 1.8V 14b 10MS/s Pipelined ADC in 0.18µm CMOS with 99dB SFDR Yun Chiu, Paul R. Gray, Borivoje Nikolic University of California, Berkeley,

More information

A 35 fj 10b 160 MS/s Pipelined- SAR ADC with Decoupled Flip- Around MDAC and Self- Embedded Offset Cancellation

A 35 fj 10b 160 MS/s Pipelined- SAR ADC with Decoupled Flip- Around MDAC and Self- Embedded Offset Cancellation Y. Zu, C.- H. Chan, S.- W. Sin, S.- P. U, R.P. Martins, F. Maloberti: "A 35 fj 10b 160 MS/s Pipelined-SAR ADC with Decoupled Flip-Around MDAC and Self- Embedded Offset Cancellation"; IEEE Asian Solid-

More information

Power (mw) DNL/INL (LSB) 200k / / /

Power (mw) DNL/INL (LSB) 200k / / / 동부하이텍공정 IP LIST 2010. 07. 25 서강대학교집적회로설계연구실 IP fsample (MS/s) VDD (V) Power (mw) / (LSB) Area (mm 2 ) Process (um) Comments [1] 12-bit ADC [2] 12-bit ADC [3] 10-bit ADC [4] 15-bit ADC [5] 13-bit ADC 200k

More information

PAPER A 12 b 200 ks/s 0.52 ma 0.47 mm 2 Algorithmic A/D Converter for MEMS Applications

PAPER A 12 b 200 ks/s 0.52 ma 0.47 mm 2 Algorithmic A/D Converter for MEMS Applications 206 PAPER A 12 b 200 ks/s 0.52 ma 0.47 mm 2 Algorithmic A/D Converter for MEMS Applications Young-Ju KIM, Hee-Cheol CHOI, Members, Seung-Hoon LEE a), and Dongil Dan CHO, Nonmembers SUMMARY This work describes

More information

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER M. Taherzadeh-Sani, R. Lotfi, and O. Shoaei ABSTRACT A novel class-ab architecture for single-stage operational amplifiers is presented. The structure

More information

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY Neha Bakawale Departmentof Electronics & Instrumentation Engineering, Shri G. S. Institute of

More information

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract , pp.17-22 http://dx.doi.org/10.14257/ijunesst.2016.9.8.02 A 12-bit 100kS/s SAR ADC for Biomedical Applications Sung-Chan Rho 1 and Shin-Il Lim 2 1 Department of Electronics and Computer Engineering, Seokyeong

More information

Index terms: Analog to Digital conversion, capacitor sharing, high speed OPAMP-sharing pipelined analog to digital convertor, Low power.

Index terms: Analog to Digital conversion, capacitor sharing, high speed OPAMP-sharing pipelined analog to digital convertor, Low power. Pipeline ADC using Switched Capacitor Sharing Technique with 2.5 V, 10-bit Ankit Jain Dept. of Electronics and Communication, Indore Institute of Science & Technology, Indore, India Abstract: This paper

More information

WITH the recent development of communication systems

WITH the recent development of communication systems IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 58, NO. 9, SEPTEMBER 2011 2127 A 12b 50 MS/s 21.6 mw 0.18 m CMOS ADC Maximally Sharing Capacitors and Op-Amps Kyung-Hoon Lee, Student Member,

More information

A b dual-mode low-noise pipeline ADC for high-end CMOS image sensors

A b dual-mode low-noise pipeline ADC for high-end CMOS image sensors Analog Integr Circ Sig Process (2014) 80:437 447 DOI 10.1007/s10470-014-0356-3 A 14 10 b dual-mode low-noise pipeline ADC for high-end CMOS image sensors Suk-Hee Cho Jun-Sang Park Gil-Cho Ahn Seung-Hoon

More information

PAPER A Single Amplifier-Based 12-bit 100 MS/s 1V19mW0.13µm CMOS ADC with Various Power and Area Minimized Circuit Techniques

PAPER A Single Amplifier-Based 12-bit 100 MS/s 1V19mW0.13µm CMOS ADC with Various Power and Area Minimized Circuit Techniques 1282 PAPER A Single Amplifier-Based 12-bit 100 MS/s 1V19mW0.13µm CMOS ADC with Various Power and Area Minimized Circuit Techniques Byeong-Woo KOO, Member, Seung-Jae PARK, Gil-Cho AHN, and Seung-Hoon LEE

More information

A 12b 100 MS/s Three-Step Hybrid Pipeline ADC Based on Time-Interleaved SAR ADCs

A 12b 100 MS/s Three-Step Hybrid Pipeline ADC Based on Time-Interleaved SAR ADCs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.2, APRIL, 2014 http://dx.doi.org/10.5573/jsts.2014.14.2.189 A 12b 100 MS/s Three-Step Hybrid ADC Based on Time-Interleaved SAR ADCs Jun-Sang

More information

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren Joint International Mechanical, Electronic and Information Technology Conference (JIMET 2015) A 14-bit 2.5 GS/s based on Multi-Clock Synchronization Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng,

More information

A Two-channel 10b 160 MS/s 28 nm CMOS Asynchronous Pipelined-SAR ADC with Low Channel Mismatch

A Two-channel 10b 160 MS/s 28 nm CMOS Asynchronous Pipelined-SAR ADC with Low Channel Mismatch JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.5, OCTOBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.5.636 ISSN(Online) 2233-4866 A Two-channel 10b 160 MS/s 28 nm CMOS

More information

CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE

CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE 3.1 INTRODUCTION An ADC is a device which converts a continuous quantity into discrete digital signal. Among its types, pipelined

More information

A Two- Bit- per- Cycle Successive- Approximation ADC with Background Offset Calibration

A Two- Bit- per- Cycle Successive- Approximation ADC with Background Offset Calibration M. Casubolo, M. Grassi, A. Lombardi, F. Maloberti, P. Malcovati: "A Two-Bit-per- Cycle Successive-Approximation ADC with Background Calibration"; 15th IEEE Int. Conf. on Electronics, Circuits and Systems,

More information

A CMOS Analog Front-End for Driving a High-Speed SAR ADC in Low-Power Ultrasound Imaging Systems

A CMOS Analog Front-End for Driving a High-Speed SAR ADC in Low-Power Ultrasound Imaging Systems A CMOS Analog Front-End for Driving a High-Speed SAR ADC in Low-Power Ultrasound Imaging Systems Taehoon Kim, Han Yang, Sangmin Shin, Hyongmin Lee and Suhwan Kim Electrical and Computer Engineering and

More information

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage D. Aksin, M.A. Al- Shyoukh, F. Maloberti: "An 11 Bit Sub-Ranging SAR ADC with Input Signal Range of Twice Supply Voltage"; IEEE International Symposium on Circuits and Systems, ISCAS 2007, New Orleans,

More information

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier Hyunui Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline Background Body voltage controlled

More information

Low Power and Fast Transient High Swing CMOS Telescopic Operational Amplifier

Low Power and Fast Transient High Swing CMOS Telescopic Operational Amplifier RESEARCH ARTICLE OPEN ACCESS Low Power and Fast Transient High Swing CMOS Telescopic Operational Amplifier Akshay Kumar Kansal 1, Asst Prof. Gayatri Sakya 2 Electronics and Communication Department, 1,2

More information

Two- Path Band- Pass Σ- Δ Modulator with 40- MHz IF 72- db DR at 1- MHz Bandwidth Consuming 16 mw

Two- Path Band- Pass Σ- Δ Modulator with 40- MHz IF 72- db DR at 1- MHz Bandwidth Consuming 16 mw I. Galdi, E. Bonizzoni, F. Maloberti, G. Manganaro, P. Malcovati: "Two-Path Band- Pass Σ-Δ Modulator with 40-MHz IF 72-dB DR at 1-MHz Bandwidth Consuming 16 mw"; 33rd European Solid State Circuits Conf.,

More information

Integrated Microsystems Laboratory. Franco Maloberti

Integrated Microsystems Laboratory. Franco Maloberti University of Pavia Integrated Microsystems Laboratory Power Efficient Data Convertes Franco Maloberti franco.maloberti@unipv.it OUTLINE Introduction Managing the noise power budget Challenges of State-of-the-art

More information

Proposing. An Interpolated Pipeline ADC

Proposing. An Interpolated Pipeline ADC Proposing An Interpolated Pipeline ADC Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Lab. Background 38GHz long range mm-wave system Role of long range mm-wave Current Optical

More information

A NOVEL MDAC SUITABLE FOR A 14B, 120MS/S ADC, USING A NEW FOLDED CASCODE OP-AMP

A NOVEL MDAC SUITABLE FOR A 14B, 120MS/S ADC, USING A NEW FOLDED CASCODE OP-AMP A NOVEL MDAC SUITABLE FOR A 14B, 120MS/S ADC, USING A NEW FOLDED CASCODE OP-AMP Noushin Ghaderi 1, Khayrollah Hadidi 2 and Bahar Barani 3 1 Faculty of Engineering, Shahrekord University, Shahrekord, Iran

More information

Publication [P3] By choosing to view this document, you agree to all provisions of the copyright laws protecting it.

Publication [P3] By choosing to view this document, you agree to all provisions of the copyright laws protecting it. Publication [P3] Copyright c 2006 IEEE. Reprinted, with permission, from Proceedings of IEEE International Solid-State Circuits Conference, Digest of Technical Papers, 5-9 Feb. 2006, pp. 488 489. This

More information

Low-Power Pipelined ADC Design for Wireless LANs

Low-Power Pipelined ADC Design for Wireless LANs Low-Power Pipelined ADC Design for Wireless LANs J. Arias, D. Bisbal, J. San Pablo, L. Quintanilla, L. Enriquez, J. Vicente, J. Barbolla Dept. de Electricidad y Electrónica, E.T.S.I. de Telecomunicación,

More information

A 2.5 V 109 db DR ADC for Audio Application

A 2.5 V 109 db DR ADC for Audio Application 276 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.10, NO.4, DECEMBER, 2010 A 2.5 V 109 db DR ADC for Audio Application Gwangyol Noh and Gil-Cho Ahn Abstract A 2.5 V feed-forward second-order deltasigma

More information

A Successive Approximation ADC based on a new Segmented DAC

A Successive Approximation ADC based on a new Segmented DAC A Successive Approximation ADC based on a new Segmented DAC segmented current-mode DAC successive approximation ADC bi-direction segmented current-mode DAC DAC INL 0.47 LSB DNL 0.154 LSB DAC 3V 8 2MS/s

More information

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3 ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3 25.3 A 96dB SFDR 50MS/s Digitally Enhanced CMOS Pipeline A/D Converter K. Nair, R. Harjani University of Minnesota, Minneapolis, MN Analog-to-digital

More information

THE pipelined ADC architecture has been adopted into

THE pipelined ADC architecture has been adopted into 1468 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 A 1.8-V 67-mW 10-bit 100-MS/s Pipelined ADC Using Time-Shifted CDS Technique Jipeng Li, Member, IEEE, and Un-Ku Moon, Senior Member,

More information

1.5 bit-per-stage 8-bit Pipelined CMOS A/D Converter for Neuromophic Vision Processor

1.5 bit-per-stage 8-bit Pipelined CMOS A/D Converter for Neuromophic Vision Processor 1.5 bit-per-stage 8-bit Pipelined CMOS A/D Converter for Neuromophic Vision Processor Yilei Li, Li Du 09212020027@fudan.edu.cn Abstract- Neuromorphic vision processor is an electronic implementation of

More information

Design of Pipeline Analog to Digital Converter

Design of Pipeline Analog to Digital Converter Design of Pipeline Analog to Digital Converter Vivek Tripathi, Chandrajit Debnath, Rakesh Malik STMicroelectronics The pipeline analog-to-digital converter (ADC) architecture is the most popular topology

More information

WITH the rapid evolution of liquid crystal display (LCD)

WITH the rapid evolution of liquid crystal display (LCD) IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 2, FEBRUARY 2008 371 A 10-Bit LCD Column Driver With Piecewise Linear Digital-to-Analog Converters Chih-Wen Lu, Member, IEEE, and Lung-Chien Huang Abstract

More information

IMPLEMENTING THE 10-BIT, 50MS/SEC PIPELINED ADC

IMPLEMENTING THE 10-BIT, 50MS/SEC PIPELINED ADC 98 CHAPTER 5 IMPLEMENTING THE 0-BIT, 50MS/SEC PIPELINED ADC 99 5.0 INTRODUCTION This chapter is devoted to describe the implementation of a 0-bit, 50MS/sec pipelined ADC with different stage resolutions

More information

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Bootstrapped ring oscillator with feedforward

More information

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.2, APRIL, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.2.281 ISSN(Online) 2233-4866 A 4b/cycle Flash-assisted SAR ADC with

More information

SUCCESSIVE approximation register (SAR) analog-todigital

SUCCESSIVE approximation register (SAR) analog-todigital 426 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 62, NO. 5, MAY 2015 A Novel Hybrid Radix-/Radix-2 SAR ADC With Fast Convergence and Low Hardware Complexity Manzur Rahman, Arindam

More information

Power Optimization in 3 Bit Pipelined ADC Structure

Power Optimization in 3 Bit Pipelined ADC Structure Global Journal of researches in engineering Electrical and Electronics engineering Volume 11 Issue 7 Version 1.0 December 2011 Type: Double Blind Peer Reviewed International Research Journal Publisher:

More information

Designing of a 8-bits DAC in 0.35µm CMOS Technology For High Speed Communication Systems Application

Designing of a 8-bits DAC in 0.35µm CMOS Technology For High Speed Communication Systems Application Designing of a 8-bits DAC in 035µm CMOS Technology For High Speed Communication Systems Application Veronica Ernita Kristianti, Hamzah Afandi, Eri Prasetyo ibowo, Brahmantyo Heruseto and shinta Kisriani

More information

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth LETTER IEICE Electronics Express, Vol.11, No.2, 1 9 A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth Mingshuo Wang a), Fan Ye, Wei Li, and Junyan Ren b) State Key Laboratory

More information

Design of an Assembly Line Structure ADC

Design of an Assembly Line Structure ADC Design of an Assembly Line Structure ADC Chen Hu 1, Feng Xie 1,Ming Yin 1 1 Department of Electronic Engineering, Naval University of Engineering, Wuhan, China Abstract This paper presents a circuit design

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

Architectures and Design Methodologies for Very Low Power and Power Effective A/D Sigma-Delta Converters

Architectures and Design Methodologies for Very Low Power and Power Effective A/D Sigma-Delta Converters 0 Architectures and Design Methodologies for Very Low Power and Power Effective A/D Sigma-Delta Converters F. Maloberti University of Pavia - Italy franco.maloberti@unipv.it 1 Introduction Summary Sigma-Delta

More information

ANALYSIS, DESIGN AND IMPLEMENTATION OF NOISE SHAPING DATA CONVERTERS FOR POWER SYSTEMS

ANALYSIS, DESIGN AND IMPLEMENTATION OF NOISE SHAPING DATA CONVERTERS FOR POWER SYSTEMS ANALYSIS, DESIGN AND IMPLEMENTATION OF NOISE SHAPING DATA CONVERTERS FOR POWER SYSTEMS Maraim Asif 1, Prof Pallavi Bondriya 2 1 Department of Electrical and Electronics Engineering, Technocrats institute

More information

A 19-bit column-parallel folding-integration/cyclic cascaded ADC with a pre-charging technique for CMOS image sensors

A 19-bit column-parallel folding-integration/cyclic cascaded ADC with a pre-charging technique for CMOS image sensors LETTER IEICE Electronics Express, Vol.14, No.2, 1 12 A 19-bit column-parallel folding-integration/cyclic cascaded ADC with a pre-charging technique for CMOS image sensors Tongxi Wang a), Min-Woong Seo

More information

All-digital ramp waveform generator for two-step single-slope ADC

All-digital ramp waveform generator for two-step single-slope ADC All-digital ramp waveform generator for two-step single-slope ADC Tetsuya Iizuka a) and Kunihiro Asada VLSI Design and Education Center (VDEC), University of Tokyo 2-11-16 Yayoi, Bunkyo-ku, Tokyo 113-0032,

More information

A Digital Readout IC with Digital Offset Canceller for Capacitive Sensors

A Digital Readout IC with Digital Offset Canceller for Capacitive Sensors http://dx.doi.org/10.5573/jsts.2012.12.3.278 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.3, SEPTEMBER, 2012 A Digital Readout IC with Digital Offset Canceller for Capacitive Sensors Dong-Hyuk

More information

Phase-shift self-oscillating class-d audio amplifier with multiple-pole feedback filter

Phase-shift self-oscillating class-d audio amplifier with multiple-pole feedback filter Phase-shift self-oscillating class-d audio amplifier with multiple-pole feedback filter Hyungjin Lee, Hyunsun Mo, Wanil Lee, Mingi Jeong, Jaehoon Jeong 2, and Daejeong Kim a) Department of Electronics

More information

A 98dB 3.3V 28mW-per-channel multibit audio DAC in a standard 0.35µm CMOS technology

A 98dB 3.3V 28mW-per-channel multibit audio DAC in a standard 0.35µm CMOS technology A 98dB 3.3V 28mW-per-channel multibit audio DAC in a standard 0.35µm CMOS technology M. Annovazzi, V. Colonna, G. Gandolfi, STMicroelectronics Via Tolomeo, 2000 Cornaredo (MI), Italy vittorio.colonna@st.com

More information

A SAR-Assisted Two-Stage Pipeline ADC Chun C. Lee, Member, IEEE, and Michael P. Flynn, Senior Member, IEEE

A SAR-Assisted Two-Stage Pipeline ADC Chun C. Lee, Member, IEEE, and Michael P. Flynn, Senior Member, IEEE IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 46, NO. 4, APRIL 2011 859 A SAR-Assisted Two-Stage Pipeline ADC Chun C. Lee, Member, IEEE, and Michael P. Flynn, Senior Member, IEEE Abstract Successive approximation

More information

Problem 1. Final Exam Spring 2018 (Reposted 11p.m. on April 30)

Problem 1. Final Exam Spring 2018 (Reposted 11p.m. on April 30) EE 435 Final Exam Spring 2018 (Reposted 11p.m. on April 30) Name Instructions: This is an open-book, open-notes exam. It is due in the office of the course instructor by 12:00 noon on Wednesday May 2.

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

Modulator with Op- Amp Gain Compensation for Nanometer CMOS Technologies

Modulator with Op- Amp Gain Compensation for Nanometer CMOS Technologies A. Pena Perez, V.R. Gonzalez- Diaz, and F. Maloberti, ΣΔ Modulator with Op- Amp Gain Compensation for Nanometer CMOS Technologies, IEEE Proceeding of Latin American Symposium on Circuits and Systems, Feb.

More information

A Multichannel Pipeline Analog-to-Digital Converter for an Integrated 3-D Ultrasound Imaging System

A Multichannel Pipeline Analog-to-Digital Converter for an Integrated 3-D Ultrasound Imaging System 1266 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 7, JULY 2003 A Multichannel Pipeline Analog-to-Digital Converter for an Integrated 3-D Ultrasound Imaging System Kambiz Kaviani, Student Member,

More information

DESIGN OF A 500MHZ, 4-BIT LOW POWER ADC FOR UWB APPLICATION

DESIGN OF A 500MHZ, 4-BIT LOW POWER ADC FOR UWB APPLICATION DESIGN OF A 500MHZ, 4-BIT LOW POWER ADC FOR UWB APPLICATION SANTOSH KUMAR PATNAIK 1, DR. SWAPNA BANERJEE 2 1,2 E & ECE Department, Indian Institute of Technology, Kharagpur, Kharagpur, India Abstract-This

More information

Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, May This material is posted here

Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, May This material is posted here Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, 27-30 May 2007. This material is posted here with permission of the IEEE. Such permission of the IEEE

More information

High-Speed Low-Power CMOS Pipelined Analog-to-Digital Converter

High-Speed Low-Power CMOS Pipelined Analog-to-Digital Converter IEICE TRANS. FUNDAMENTALS, VOL.E82 A, NO.6 JUNE 1999 981 PAPER Special Section of Papers Selected from ITC-CSCC 98 High-Speed Low-Power CMOS Pipelined Analog-to-Digital Converter Ri-A JU, Dong-Ho LEE,

More information

PAPER A 10 b 200 MS/s1.8mm 2 83 mw 0.13 µm CMOS ADC Based on Highly Linear Integrated Capacitors

PAPER A 10 b 200 MS/s1.8mm 2 83 mw 0.13 µm CMOS ADC Based on Highly Linear Integrated Capacitors IEICE TRANS. ELECTRON., VOL.E90 C, NO.10 OCTOBER 2007 2037 PAPER A 10 b 200 MS/s1.8mm 2 83 mw 0.13 µm CMOS ADC Based on Highly Linear Integrated Capacitors Young-Ju KIM, Young-Jae CHO, Members, Doo-Hwan

More information

International Journal of Advanced Research in Computer Science and Software Engineering

International Journal of Advanced Research in Computer Science and Software Engineering Volume 3, Issue 1, January 2013 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Low Power High

More information

A 80Ms/sec 10bit PIPELINED ADC Using 1.5Bit Stages And Built-in Digital Error Correction Logic

A 80Ms/sec 10bit PIPELINED ADC Using 1.5Bit Stages And Built-in Digital Error Correction Logic A 80Ms/sec 10bit PIPELINED ADC Using 1.5Bit Stages And Built-in Digital Error Correction Logic Abstract P.Prasad Rao 1 and Prof.K.Lal Kishore 2, 1 Research Scholar, JNTU-Hyderabad prasadrao_hod@yahoo.co.in

More information

A low-if 2.4 GHz Integrated RF Receiver for Bluetooth Applications Lai Jiang a, Shaohua Liu b, Hang Yu c and Yan Li d

A low-if 2.4 GHz Integrated RF Receiver for Bluetooth Applications Lai Jiang a, Shaohua Liu b, Hang Yu c and Yan Li d Applied Mechanics and Materials Online: 2013-06-27 ISSN: 1662-7482, Vol. 329, pp 416-420 doi:10.4028/www.scientific.net/amm.329.416 2013 Trans Tech Publications, Switzerland A low-if 2.4 GHz Integrated

More information

High-Speed Analog to Digital Converters. ELCT 1003:High Speed ADCs

High-Speed Analog to Digital Converters. ELCT 1003:High Speed ADCs High-Speed Analog to Digital Converters Ann Kotkat Barbara Georgy Mahmoud Tantawi Ayman Sakr Heidi El-Feky Nourane Gamal 1 Outline Introduction. Process of ADC. ADC Specifications. Flash ADC. Pipelined

More information

Time- interleaved sigma- delta modulator using output prediction scheme

Time- interleaved sigma- delta modulator using output prediction scheme K.- S. Lee, F. Maloberti: "Time-interleaved sigma-delta modulator using output prediction scheme"; IEEE Transactions on Circuits and Systems II: Express Briefs, Vol. 51, Issue 10, Oct. 2004, pp. 537-541.

More information

Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wireless Applications

Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wireless Applications RESEARCH ARTICLE OPEN ACCESS Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wireless Applications Sharon Theresa George*, J. Mangaiyarkarasi** *(Department of Information and Communication

More information

EE247 Lecture 23. EECS 247 Lecture 23 Pipelined ADCs 2008 H.K. Page 1. Pipeline ADC Block Diagram DAC ADC. V res2. Stage 2 B 2.

EE247 Lecture 23. EECS 247 Lecture 23 Pipelined ADCs 2008 H.K. Page 1. Pipeline ADC Block Diagram DAC ADC. V res2. Stage 2 B 2. EE247 Lecture 23 Pipelined ADCs (continued) Effect gain stage, sub-dac non-idealities on overall ADC performance Digital calibration (continued) Correction for inter-stage gain nonlinearity Implementation

More information

EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s

EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s B.Padmavathi, ME (VLSI Design), Anand Institute of Higher Technology, Chennai, India krishypadma@gmail.com Abstract In electronics, a comparator

More information

UCLA UCLA Electronic Theses and Dissertations

UCLA UCLA Electronic Theses and Dissertations UCLA UCLA Electronic Theses and Dissertations Title An 11-bit 20MS/s Pipelined Analog-to-Digital Converter with Op Amp Sharing Permalink https://escholarship.org/uc/item/0bg2v018 Author Kong, Long Publication

More information

Analysis of 1=f Noise in CMOS Preamplifier With CDS Circuit

Analysis of 1=f Noise in CMOS Preamplifier With CDS Circuit IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 49, NO. 4, AUGUST 2002 1819 Analysis of 1=f Noise in CMOS Preamplifier With CDS Circuit Tae-Hoon Lee, Gyuseong Cho, Hee Joon Kim, Seung Wook Lee, Wanno Lee, and

More information

Lecture 9, ANIK. Data converters 1

Lecture 9, ANIK. Data converters 1 Lecture 9, ANIK Data converters 1 What did we do last time? Noise and distortion Understanding the simplest circuit noise Understanding some of the sources of distortion 502 of 530 What will we do today?

More information

A High-Driving Class-AB Buffer Amplifier with a New Pseudo Source Follower

A High-Driving Class-AB Buffer Amplifier with a New Pseudo Source Follower A High-Driving Class-AB Buffer Amplifier with a New Pseudo Source Follower Chih-Wen Lu, Yen-Chih Shen and Meng-Lieh Sheu Abstract A high-driving class-ab buffer amplifier, which consists of a high-gain

More information

Low- Power Third- Order ΣΔ Modulator with Cross Couple Paths for WCDMA Applications

Low- Power Third- Order ΣΔ Modulator with Cross Couple Paths for WCDMA Applications C. Della Fiore, F. Maloberti, P. Malcovati: "Low-Power Third-Order ΣΔ Modulator with Cross Couple Paths for WCDMA Applications"; Ph. D. Research in Microelectronics and Electronics, PRIME 2006, Otranto,

More information

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists 4,000 116,000 120M Open access books available International authors and editors Downloads Our

More information

Second-Order Sigma-Delta Modulator in Standard CMOS Technology

Second-Order Sigma-Delta Modulator in Standard CMOS Technology SERBIAN JOURNAL OF ELECTRICAL ENGINEERING Vol. 1, No. 3, November 2004, 37-44 Second-Order Sigma-Delta Modulator in Standard CMOS Technology Dragiša Milovanović 1, Milan Savić 1, Miljan Nikolić 1 Abstract:

More information

Implementing a 5-bit Folding and Interpolating Analog to Digital Converter

Implementing a 5-bit Folding and Interpolating Analog to Digital Converter Implementing a 5-bit Folding and Interpolating Analog to Digital Converter Zachary A Pfeffer (pfefferz@colorado.edu) Department of Electrical and Computer Engineering University of Colorado, Boulder CO

More information

A design of 16-bit adiabatic Microprocessor core

A design of 16-bit adiabatic Microprocessor core 194 A design of 16-bit adiabatic Microprocessor core Youngjoon Shin, Hanseung Lee, Yong Moon, and Chanho Lee Abstract A 16-bit adiabatic low-power Microprocessor core is designed. The processor consists

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

A 4-bit High Speed, Low Power Flash ADC by Employing Binary Search Algorithm 1 Brahmaiah Throvagunta, 2 Prashant K Shah

A 4-bit High Speed, Low Power Flash ADC by Employing Binary Search Algorithm 1 Brahmaiah Throvagunta, 2 Prashant K Shah A 4-bit High Speed, Low Power Flash ADC by Employing Binary Search Algorithm 1 Brahmaiah Throvagunta, 2 Prashant K Shah 1 Master of Technology,Dept. of VLSI &Embedded Systems,Sardar Vallabhbhai National

More information

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2 ISSN 2277-2685 IJESR/October 2014/ Vol-4/Issue-10/682-687 Thota Keerthi et al./ International Journal of Engineering & Science Research DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN

More information

Design of Successive Approximation Analog to Digital Converter with Modified DAC

Design of Successive Approximation Analog to Digital Converter with Modified DAC Design of Successive Approximation Analog to Digital Converter with Modified DAC Nikhil A. Bobade Dr. Mahendra A. Gaikwad Prof. Jayshri D. Dhande Dept. of Electronics Professor Assistant Professor Nagpur

More information

Design of Dynamic Latched Comparator with Reduced Kickback Noise

Design of Dynamic Latched Comparator with Reduced Kickback Noise Volume 118 No. 17 2018, 289-298 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu Design of Dynamic Latched Comparator with Reduced Kickback Noise N

More information

A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs

A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs 1 A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs Masaya Miyahara, Yusuke Asada, Daehwa Paik and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline 2 Motivation The Calibration

More information

Data Converters. Springer FRANCO MALOBERTI. Pavia University, Italy

Data Converters. Springer FRANCO MALOBERTI. Pavia University, Italy Data Converters by FRANCO MALOBERTI Pavia University, Italy Springer Contents Dedicat ion Preface 1. BACKGROUND ELEMENTS 1.1 1.2 1.3 1.4 1.5 1.6 1.7 1.8 The Ideal Data Converter Sampling 1.2.1 Undersampling

More information

THE demand for touch screen panel (TSP) technology

THE demand for touch screen panel (TSP) technology 748 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 61, NO. 10, OCTOBER 2014 A 45-dB, 150-Hz, and 18-mW Touch Controller for On-Cell Capacitive TSP Systems Yeong-Shin Jang, Young-Hun

More information

Design of a 3rd order Delta-Sigma Modulator with a Frequency Detection Circuit

Design of a 3rd order Delta-Sigma Modulator with a Frequency Detection Circuit Design of a 3rd order Delta-Sigma Modulator with a Frequency Detection Circuit Han-Ul Lee 1, Keon Lee 1, Dai Shi 1, Dong-Hun Lee 1, Kwang-Sub Yoon 1, 1 Department of Electronic Engineering, Inha University,

More information

International Journal of Advance Engineering and Research Development. Design of Pipelined ADC for High Speed Application

International Journal of Advance Engineering and Research Development. Design of Pipelined ADC for High Speed Application g Scientific Journal of Impact Factor(SJIF): 3.134 e-issn(o): 2348-4470 p-issn(p): 2348-6406 International Journal of Advance Engineering and Research Development Volume 2,Issue 4, April -2015 Design of

More information

EE247 Lecture 23. Advanced calibration techniques. Compensating inter-stage amplifier non-linearity Calibration via parallel & slow ADC

EE247 Lecture 23. Advanced calibration techniques. Compensating inter-stage amplifier non-linearity Calibration via parallel & slow ADC EE247 Lecture 23 Pipelined ADCs Combining the bits Stage implementation Circuits Noise budgeting Advanced calibration techniques Compensating inter-stage amplifier non-linearity Calibration via parallel

More information

A 100-dB gain-corrected delta-sigma audio DAC with headphone driver

A 100-dB gain-corrected delta-sigma audio DAC with headphone driver Analog Integr Circ Sig Process (2007) 51:27 31 DOI 10.1007/s10470-007-9033-0 A 100-dB gain-corrected delta-sigma audio DAC with headphone driver Ruopeng Wang Æ Sang-Ho Kim Æ Sang-Hyeon Lee Æ Seung-Bin

More information

Atypical op amp consists of a differential input stage,

Atypical op amp consists of a differential input stage, IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 6, JUNE 1998 915 Low-Voltage Class Buffers with Quiescent Current Control Fan You, S. H. K. Embabi, and Edgar Sánchez-Sinencio Abstract This paper presents

More information

10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS

10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS 10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu*, Andy Burstein**, Mehrdad Heshami*** Agilent Technologies, Palo Alto, CA *Agilent Technologies, Colorado Springs,

More information

A new class AB folded-cascode operational amplifier

A new class AB folded-cascode operational amplifier A new class AB folded-cascode operational amplifier Mohammad Yavari a) Integrated Circuits Design Laboratory, Department of Electrical Engineering, Amirkabir University of Technology, Tehran, Iran a) myavari@aut.ac.ir

More information

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS Sang-Min Yoo, Jeffrey Walling, Eum Chan Woo, David Allstot University of Washington, Seattle, WA Submission Highlight A fully-integrated

More information

A single-slope 80MS/s ADC using two-step time-to-digital conversion

A single-slope 80MS/s ADC using two-step time-to-digital conversion A single-slope 80MS/s ADC using two-step time-to-digital conversion The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

THE USE of multibit quantizers in oversampling analogto-digital

THE USE of multibit quantizers in oversampling analogto-digital 966 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 12, DECEMBER 2010 A New DAC Mismatch Shaping Technique for Sigma Delta Modulators Mohamed Aboudina, Member, IEEE, and Behzad

More information

DESIGN AND IMPLEMENTATION OF A LOW VOLTAGE LOW POWER DOUBLE TAIL COMPARATOR

DESIGN AND IMPLEMENTATION OF A LOW VOLTAGE LOW POWER DOUBLE TAIL COMPARATOR DESIGN AND IMPLEMENTATION OF A LOW VOLTAGE LOW POWER DOUBLE TAIL COMPARATOR 1 C.Hamsaveni, 2 R.Ramya 1,2 PG Scholar, Department of ECE, Hindusthan Institute of Technology, Coimbatore(India) ABSTRACT Comparators

More information

A Low Power, 8-Bit, 5MS/s Digital to Analog Converter for Successive Approximation ADC

A Low Power, 8-Bit, 5MS/s Digital to Analog Converter for Successive Approximation ADC IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 42-46 A Low Power, 8-Bit, 5MS/s Digital to Analog Converter for Successive

More information

Pipelined Analog-to-Digital converter (ADC)

Pipelined Analog-to-Digital converter (ADC) Analog Integr Circ Sig Process (2012) 63:495 501 DOI 10.1007/s10470-010-9453-0 MIXED SIGNAL LETTER Pipelined Analog-to-Digital converter (ADC) Mingjun Fan Junyan Ren Ning Li Fan Ye Jun Xu Abstract A set

More information