An HCI-Healing 60GHz CMOS Transceiver

Size: px
Start display at page:

Download "An HCI-Healing 60GHz CMOS Transceiver"

Transcription

1 An HCI-Healing 60GHz CMOS Transceiver Rui Wu, Seitaro Kawai, Yuuki Seo, Kento Kimura, Shinji Sato, Satoshi Kondo, Tomohiro Ueno, Nurul Fajri, Shoutarou Maki, Noriaki Nagashima, Yasuaki Takeuchi, Tatsuya Yamaguchi, Ahmed Musa, Masaya Miyahara, Kenichi Okada, and Akira Matsuzawa Tokyo Institute of Technology, Japan 0 of 30

2 Outline Motivation Hot-Carrier-Injection Issues, Prior Arts and Proposed Solution Proposed HCI-Healing 60GHz TRX Detailed circuit implementation Measurement and Comparison Conclusion 1 of 30

3 Wireless Standards 60GHz-Band Capability PDC LTE WiMAX UMTS 9-GHz band GSM WLAN DVB-T ISDB-T GPS Bluetooth UWB Frequency (GHz) 2.16 GHz 1.76 GHz 7.04Gbps/ch in 16QAM Channels of IEEE ad standard Freq (GHz) 2 of 30

4 Hot-Carrier-Injection Issue in CMOS (1/2) CMOS power amplifier Drain efficiency: η=p out /P DC V ds V ds,peak =2V DD V ds V ds,peak =1.5V DD V DD V DD Class-A η=50% t Class-A η=12.5% t Large V ds,peak HCI damage Small V ds,peak Low efficiency 3 of 30

5 I D (ma) Hot-Carrier-Injection Issue in CMOS (2/2) 80 V D =1.2 V HCI damage Stress cond. V D =2.4V V G =0.8V 1 hour V G (V) Lifetime: the time when I DS = saturation 4 of 30

6 Hot-Carrier-Injection Mechanism V S Gate oxide V G Generated defects: Trapped charges & Interface states I D V D I G n+ n+ [*Y. Leblebici et al., JSSC 1993] High field P-Substrate I sub Impact ionization Degrade V t, m n, g m, I D, and lifetime 5 of 30

7 HCI Issue in Advanced CMOS HCI aging E lateral V ds /L eff HCI issues more severe 6 V ds,peak for same V ds,peak /L eff HCI limited V ds,peak =1.35V* 4 2 Voltage (V) Nominal V DD ** Process node (nm) 0 10 [**ITRS2013] [*D. Stephens et al., RFIC 2009] 6 of 30

8 HCI Issues for 60-GHz Applications 2.4-GHz power amplifier 2.5 V 60-GHz power amplifier 1.0 V Thick oxide L=250 nm (I/O Tr.) f max =40 GHz Standard L=65 nm (core Tr.) f max =220 GHz 7 of 30

9 Summary of Prior HCI V ds V DD =0.7V V DD =1.2V P 1dB =10dBm Low V DD or Stack Tr. t Better lifetime Degraded output power, linearity and efficiency V DD =1.2V P 1dB =6dBm P 1dB =5dBm Low V DD * Stack Transistor** [*M. Tanomura et al., ISSCC 2008] [**A. Siligaris et al., JSSC 2010] 8 of 30

10 Power Combining Techniques P in,n PA1 I n PAn P out,n Power combining Output (combiner, antenna array, etc.) [*J. Chen et al., ISSCC 2011] PAE = P out,n P in,n PAE = n (P out,n P in,n ) Individual: Combined: I n V DD n I n V DD Compensate output power and linearity Deteriorated efficiency can not be improved 9 of 30

11 I D (ma) Proposed HCI-Healing Technique V D =1.2 V HCI damage HCI healing How? V G (V) Ultimate solution: Physically heal HCI damage 10 of 30

12 Proposed HCI Healing Mechanism (1/2) Damaged gate oxide V G V S V D V B n+ n+ p+ P-Substrate Damage mechanism: trapped electrons [Y. Leblebici et al., JSSC 1993] 11 of 30

13 Proposed HCI Healing Mechanism (2/2) V G 0V 2.2V V S V D V B n+ n+ p+ P-Substrate Possible solution: charge ejection 12 of 30

14 I D (ma) Measured HCI-Healing I D -V G Curves First HCI healing demonstration V D =1.2 V Fresh Damaged Healed Accelerated Meas V G (V) Stress cond. V D =2.4V V G =0.8V 1 hour Heal cond. V B =2.2V V G =V D =0V 1 second 13 of 30

15 HCI-Healing Function in Transistor First HCI healing transistor Floating source* & low drain bias** assisting ejection (memory cells) V G High voltage V H V G V S High Z Deep n-well V H High voltage V B High Z p+ V S E BG n+ n+ p+ n+ Ejection of the trapped n+ electrons E BD Deep n-well V D V B R [*T. Endoh et al., IEDM 1989] [**K. Miyaji et al., JJAP 2012] 14 of 30

16 HCI-Healing Transistor Module MIM TL V DD TL Equivalent circuit for 60-GHz operation V G 1.2 V V D V H V G V D V B V H Deep n-well Deep n-well Deep n-well V GT Equivalent circuit for HCI healing 15 of 30 V G V S High Z V H High voltage

17 HCI-Healing Power Amplifier (1/3) V DD Proposed HCI healing block V PA S 6 M p S 6c M n RF in V G6 V D6 RF out V H MIM TL TL 16 of 30 V GT

18 HCI-Healing Power Amplifier (2/3) Deep n-well V DD V G V S High Z V H High voltage HCI healing status V PA V G6 V D6 V DD M p V DD M n RF out RF in V H MIM TL TL GND 17 of 30

19 HCI-Healing Power Amplifier (3/3) V G 1.2 V V D od V H 60GHz operation status V PA GND V DD M p GND Deep n-well RF in V G6 V D6 M n RF out V H MIM TL TL 18 of 30 V DD

20 TX output RX input HCI-Healing TRX Block Diagram Direct Conversion 20GHz PLL+ 60GHz QILO Integrated HCI-healing function V H LNA Logic Gain control Power mgmt. HCI healing HCI-healing block PA RF Amp. RF Amp. 60GHz QILO 60GHz QILO RF Amp. RF Amp. I Mixer I Mixer Q Mixer Q Mixer BB Amp. BB Amp. 20GHz PLL Control signals 19 of 30 I+ I- Q+ Q- Ref. 40MHz Q- Q+ I- I+

21 Die Micrograph 2 mm TX out RX in LNA HCI PA RF Amp. & I Mixer RF Amp. & Q Mixer RF Amp. & Q Mixer QILO QILO PLL Logic 2 mm Standard 65 nm CMOS Block Area (mm 2 ) TX 0.79 RX 1.01 PLL 0.27 Logic 0.21 RF Amp. & I Mixer 20 of 30

22 Transistor TEG Measurements DC stress lifetime AC stress lifetime Stand-alone PA TEG P in -P out with healing AC stress lifetime with healing TRX Board EVM versus P out with healing 21 of 30

23 Lifetime t (s) 65 nm NMOSFET DC Stress Lifetime 1E E E Lifetime = 63 V DS =1.2V Stress condition V GS V DS E+04 V V DS E E+00 τ = K e b V DS * /V DS (1/V) V GS = 0.8 V [*E. Takeda et al., EDL 1983] 22 of 30 t

24 I DSat (%) 65 nm NMOSFET RF Stress Lifetime 100 Lifetime = 2 hours Freq.=100 MHz P out =11 dbm Stress condition V ds 10 V gs V ds V gs 1.2 V I DSat = A t n * 0.8 V 1 1E E E E Time (s) [*L. Negre et al., JSSC 2012] 23 of 30 t

25 P out (dbm) Measured P in -P out of the PA 12 9 DC Stress-AC Meas. Freq.=60 GHz V G6 =0.7 V 6 3 Symbols: P 1dB Fresh Damaged Healed Accelerated Meas P in (dbm) 24 of 30

26 I D6 (%) Measured Lifetime of the PA 1E E E AC Stress-DC Meas. Lifetime@10% 1.2 year Fresh Tr. Stress P out =7dBm Lifetime@10% 81.2 years 1E Healed Tr. Stress P out =7dBm 1E E+31E+41E+51E+61E+71E+81E+91E Time (s) 25 of 30

27 TX EVM (db) Measured TX EVM versus P out IEEE802.11ad MCS12(16QAM) specification 7Gb/s Fresh 9dBm Stress cond. 12.5dBm with V DD =1.5V (40hr) -24 Damaged 5dBm -27 Fresh Damaged Healed Healing cond. V B =2.2V 1sec Averaged TX Output Power (dbm) Healed 8dBm 26 of 30

28 60GHz TRX Performance Comparison Ref. CMOS Process Data rate (Modulation) P out /each PA (dbm) TX efficiency P out /P DC (%) HCI healing Core area (mm 2 ) Power Consumption Tokyo Tech [1] 65nm 10.56Gb/s (64QAM) 28.16Gb/s (16QAM) EVM = -21dB 2.8 NO 3.9 TX: 251mW RX: 220mW NEC [2] Panasonic [3] Broadcom [4] This work 90nm 90nm 40nm 65nm *Estimated from literature 2.6Gb/s (QPSK) 2.5Gb/s (QPSK) 4.6Gb/s (16QAM) 7Gb/s (16QAM) Chip area w/o PLL EVM = -19.6dB EVM = -23dB EVM = -21dB NO NO 5.7 TX: 133mW RX: 206mW w/o PLL TX: 361mW RX: 260mW TX: 1190mW 0.5 NO 26.3 RX: 960mW 16x16 array 3.9 YES 2.3 TX: 218mW RX: 188mW 27 of 30

29 60-GHz CMOS transceiver with HCI damage healing function by using charge ejection technique. 81-year lifetime without sacrificing the output power and efficiency The transceiver demonstrates an EVM of -27.9dB and can transmit 7Gb/s in 16QAM within 2.16GHz bandwidth. Conclusions 28 of 30

30 Acknowledgement This work is partially supported by MIC, SCOPE, MEXT, STARC, STAR, and VDEC in collaboration with Cadence Design Systems, Inc., Mentor Graphics, Inc., Synopsys Inc., and Agilent Technologies Japan, Ltd. 29 of 30

31 References [1] K. Okada, et al., A 64-QAM 60GHz CMOS transceiver with 4-channel bonding, IEEE ISSCC, pp , [2] M. Tanomura, et al., TX and RX front-ends for 60 GHz band in 90 nm standard bulk CMOS, IEEE ISSCC, pp , [3] T. Tsukizawa, et al., A PVT-variation tolerant fully integrated 60 GHz transceiver for IEEE ad, IEEE VLSI Circuits, pp , [4] M. Boers, et al., A 16TX/16RX 60GHz ad chipset with single coaxial interface and polarization diversity, IEEE ISSCC, pp , [5] Y. Leblebici, et al., Modeling and Simulation of Hot-Carrier-Induced Device Degradation in MOS Circuits, IEEE JSSC, pp , Vol.28, No.5, May [6] E. Takeda, et al., An empirical model for device degradation due to hot-carrier injection, IEEE Electron Device Letters, Vol.EDL-4, No.4, pp , Apr [7] L. Negre, et al., Reliability characterization and modeling solution to predict aging of 40-nm MOSFET DC and RF performances induced by RF stress, IEEE JSSC, Vol.47, No.5, pp , May of 30

32 References [8] A. Siligaris, et al., A 60 GHz power amplifier with 14.5 dbm saturation power and 25% peak PAE in CMOS 65 nm SOI, IEEE JSSC, Vol.45, No.7, pp , Jul [9] J. Chen, et al., A compact 1V 18.6 dbm 60 GHz power amplifier in 65 nm CMOS, IEEE ISSCC, pp , [10] K. Miyaji, et al., Zero additional process, local charge trap, embedded flash memory with drain-side assisted erase scheme using minimum channel length/width standard CMOS single transistor cell, Japanese J. Appl. Phys., Vol.51, pp.04dd DD02-7, Apr [11] D. Stephens, et al., RF reliability of short channel NMOS devices, IEEE RFIC, pp , [12] T. Endoh, et al., New design technology for EEPROM memory cells with 10 million write/erase cycling endurance, IEEE IEDM, pp , of 30

A 0.7 V-to-1.0 V 10.1 dbm-to-13.2 dbm 60-GHz Power Amplifier Using Digitally- Assisted LDO Considering HCI Issues

A 0.7 V-to-1.0 V 10.1 dbm-to-13.2 dbm 60-GHz Power Amplifier Using Digitally- Assisted LDO Considering HCI Issues A 0.7 V-to-1.0 V 10.1 dbm-to-13.2 dbm 60-GHz Power Amplifier Using Digitally- Assisted LDO Considering HCI Issues Rui Wu, Yuuki Tsukui, Ryo Minami, Kenichi Okada, and Akira Matsuzawa Tokyo Institute of

More information

A 64-QAM 60GHz CMOS Transceiver with 4-Channel Bonding

A 64-QAM 60GHz CMOS Transceiver with 4-Channel Bonding A 64-QAM 6GHz CMOS Transceiver with 4-Channel Bonding Kenichi Okada, Ryo Minami, Yuuki Tsukui, Seitaro Kawai, Yuuki Seo, Shinji Sato, Satoshi Kondo, Tomohiro Ueno, Yasuaki Takeuchi, Tatsuya Yamaguchi,

More information

A Digitally-Calibrated 20-Gb/s 60-GHz Direct-Conversion Transceiver in 65-nm CMOS

A Digitally-Calibrated 20-Gb/s 60-GHz Direct-Conversion Transceiver in 65-nm CMOS A Digitally-Calibrated 20-Gb/s 60-GHz Direct-Conversion Transceiver in 65-nm CMOS Seitaro Kawai, Ryo Minami, Yuki Tsukui, Yasuaki Takeuchi, Hiroki Asada, Ahmed Musa, Rui Murakami, Takahiro Sato, Qinghong

More information

Design of low-loss 60 GHz integrated antenna switch in 65 nm CMOS

Design of low-loss 60 GHz integrated antenna switch in 65 nm CMOS LETTER IEICE Electronics Express, Vol.15, No.7, 1 10 Design of low-loss 60 GHz integrated antenna switch in 65 nm CMOS Korkut Kaan Tokgoz a), Seitaro Kawai, Kenichi Okada, and Akira Matsuzawa Department

More information

Passive Device Characterization for 60-GHz CMOS Power Amplifiers

Passive Device Characterization for 60-GHz CMOS Power Amplifiers Passive Device Characterization for 60-GHz CMOS Power Amplifiers Kenichi Okada, Kota Matsushita, Naoki Takayama, Shogo Ito, Ning Li, and Akira Tokyo Institute of Technology, Japan 2009/4/20 Motivation

More information

A 20GHz Class-C VCO Using Noise Sensitivity Mitigation Technique

A 20GHz Class-C VCO Using Noise Sensitivity Mitigation Technique Matsuzawa Lab. Matsuzawa & Okada Lab. Tokyo Institute of Technology A 20GHz Class-C VCO Using Noise Sensitivity Mitigation Technique Kento Kimura, Kenichi Okada and Akira Matsuzawa (WE2C-2) Matsuzawa &

More information

A Dual-Step-Mixing ILFD using a Direct Injection Technique for High- Order Division Ratios in 60GHz Applications

A Dual-Step-Mixing ILFD using a Direct Injection Technique for High- Order Division Ratios in 60GHz Applications A Dual-Step-Mixing ILFD using a Direct Injection Technique for High- Order Division Ratios in 60GHz Applications Teerachot Siriburanon, Wei Deng, Ahmed Musa, Kenichi Okada, and Akira Matsuzawa Tokyo Institute

More information

A 60GHz CMOS Power Amplifier Using Varactor Cross-Coupling Neutralization with Adaptive Bias

A 60GHz CMOS Power Amplifier Using Varactor Cross-Coupling Neutralization with Adaptive Bias A 6GHz CMOS Power Amplifier Using Varactor Cross-Coupling Neutralization with Adaptive Bias Ryo Minami,Kota Matsushita, Hiroki Asada, Kenichi Okada,and Akira Tokyo Institute of Technology, Japan Outline

More information

Technology Trend of Ultra-High Data Rate Wireless CMOS Transceivers

Technology Trend of Ultra-High Data Rate Wireless CMOS Transceivers 2017.07.03 Technology Trend of Ultra-High Data Rate Wireless CMOS Transceivers Akira Matsuzawa and Kenichi Okada Tokyo Institute of Technology Contents 1 Demand for high speed data transfer Developed high

More information

High Data Rate 60 GHz CMOS Transceiver Design

High Data Rate 60 GHz CMOS Transceiver Design High Data Rate 6 GHz CMOS Transceiver Design Akira Matsuzawa Department of Physical Electronics Graduate School of Science and Electronics Tokyo Institute of Technology, O-okayama, Meguro-ku, Tokyo, 152-8552,

More information

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier Hyunui Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline Background Body voltage controlled

More information

A 60GHz Sub-Sampling PLL Using A Dual-Step-Mixing ILFD

A 60GHz Sub-Sampling PLL Using A Dual-Step-Mixing ILFD A 60GHz Sub-Sampling PLL Using A Dual-Step-Mixing ILFD Teerachot Siriburanon, Tomohiro Ueno, Kento Kimura, Satoshi Kondo, Wei Deng, Kenichi Okada, and Akira Matsuzawa Tokyo Institute of Technology, Japan

More information

A fully synthesizable injection-locked PLL with feedback current output DAC in 28 nm FDSOI

A fully synthesizable injection-locked PLL with feedback current output DAC in 28 nm FDSOI LETTER IEICE Electronics Express, Vol.1, No.15, 1 11 A fully synthesizable injection-locked PLL with feedback current output DAC in 8 nm FDSOI Dongsheng Yang a), Wei Deng, Aravind Tharayil Narayanan, Rui

More information

A 60-GHz Digitally-Controlled Phase Modulator with Phase Error Calibration

A 60-GHz Digitally-Controlled Phase Modulator with Phase Error Calibration IEICE Society Conference A 60-GHz Digitally-Controlled Phase Modulator with Phase Error Calibration Rui WU, Ning Li, Kenichi Okada, and Akira Tokyo Institute of Technology Background 1 9-GHz unlicensed

More information

A 400 MHz 4.5 nw 63.8 dbm Sensitivity Wake-up Receiver Employing an Active Pseudo-Balun Envelope Detector

A 400 MHz 4.5 nw 63.8 dbm Sensitivity Wake-up Receiver Employing an Active Pseudo-Balun Envelope Detector A 400 MHz 4.5 nw 63.8 dbm Sensitivity Wake-up Receiver Employing an Active Pseudo-Balun Envelope Detector Po-Han Peter Wang, Haowei Jiang, Li Gao, Pinar Sen, Young-Han Kim, Gabriel M. Rebeiz, Patrick P.

More information

Proposing. An Interpolated Pipeline ADC

Proposing. An Interpolated Pipeline ADC Proposing An Interpolated Pipeline ADC Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Lab. Background 38GHz long range mm-wave system Role of long range mm-wave Current Optical

More information

A 2.4GHz Fully Integrated CMOS Power Amplifier Using Capacitive Cross-Coupling

A 2.4GHz Fully Integrated CMOS Power Amplifier Using Capacitive Cross-Coupling A 2.4GHz Fully Integrated CMOS Power Amplifier Using Capacitive Cross-Coupling JeeYoung Hong, Daisuke Imanishi, Kenichi Okada, and Akira Tokyo Institute of Technology, Japan Contents 1 Introduction PA

More information

A 0.55 V 7-bit 160 MS/s Interpolated Pipeline ADC Using Dynamic Amplifiers

A 0.55 V 7-bit 160 MS/s Interpolated Pipeline ADC Using Dynamic Amplifiers A 0.55 V 7-bit 160 MS/s Interpolated Pipeline ADC Using Dynamic Amplifiers James Lin, Daehwa Paik, Seungjong Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada

More information

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique James Lin, Masaya Miyahara and Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Laḃ

More information

mmw to THz ultra high data rate radio access technologies

mmw to THz ultra high data rate radio access technologies mmw to THz ultra high data rate radio access technologies Dr. Laurent HERAULT VP Europe, CEA LETI Pierre Vincent Head of RF IC design Lab, CEA LETI Outline mmw communication use cases and standards mmw

More information

Test Structures for Millimeter- Wave CMOS Circuit Design

Test Structures for Millimeter- Wave CMOS Circuit Design Test Structures for Millimeter- Wave CMOS Circuit Design Kenichi Okada Tokyo Institute of Technology, Japan 2010/03/22 Outline 1 Motivation Issues for mmw CMOS Circuits Device Characterization De-embedding

More information

A 1.7-to-2.2GHz Full-Duplex Transceiver System with >50dB Self-Interference Cancellation over 42MHz Bandwidth

A 1.7-to-2.2GHz Full-Duplex Transceiver System with >50dB Self-Interference Cancellation over 42MHz Bandwidth A 1.7-to-2.2GHz Full-Duplex Transceiver System with >50dB Self-Interference Cancellation Tong Zhang, Ali Najafi, Chenxin Su, Jacques C. Rudell University of Washington, Seattle Feb. 8, 2017 International

More information

Application of PC Vias to Configurable RF Circuits

Application of PC Vias to Configurable RF Circuits Application of PC Vias to Configurable RF Circuits March 24, 2008 Prof. Jeyanandh Paramesh Department of Electrical and Computer Engineering Carnegie Mellon University Pittsburgh, PA 15213 Ultimate Goal:

More information

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS Sang-Min Yoo, Jeffrey Walling, Eum Chan Woo, David Allstot University of Washington, Seattle, WA Submission Highlight A fully-integrated

More information

mm-wave Transceiver Challenges for the 5G and 60GHz Standards Prof. Emanuel Cohen Technion

mm-wave Transceiver Challenges for the 5G and 60GHz Standards Prof. Emanuel Cohen Technion mm-wave Transceiver Challenges for the 5G and 60GHz Standards Prof. Emanuel Cohen Technion November 11, 11, 2015 2015 1 mm-wave advantage Why is mm-wave interesting now? Available Spectrum 7 GHz of virtually

More information

An Asymmetrical Bulk CMOS Switch for 2.4 GHz Application

An Asymmetrical Bulk CMOS Switch for 2.4 GHz Application Progress In Electromagnetics Research Letters, Vol. 66, 99 104, 2017 An Asymmetrical Bulk CMOS Switch for 2.4 GHz Application Lang Chen 1, * and Ye-Bing Gan 1, 2 Abstract A novel asymmetrical single-pole

More information

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 11.9 A Single-Chip Linear CMOS Power Amplifier for 2.4 GHz WLAN Jongchan Kang 1, Ali Hajimiri 2, Bumman Kim 1 1 Pohang University of Science

More information

Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose

Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose Kazutoshi Kobayashi Kyoto Institute of Technology Kyoto, Japan kazutoshi.kobayashi@kit.ac.jp

More information

Challenges in Designing CMOS Wireless System-on-a-chip

Challenges in Designing CMOS Wireless System-on-a-chip Challenges in Designing CMOS Wireless System-on-a-chip David Su Atheros Communications Santa Clara, California IEEE Fort Collins, March 2008 Introduction Outline Analog/RF: CMOS Transceiver Building Blocks

More information

Measurement and Modeling of CMOS Devices in Short Millimeter Wave. Minoru Fujishima

Measurement and Modeling of CMOS Devices in Short Millimeter Wave. Minoru Fujishima Measurement and Modeling of CMOS Devices in Short Millimeter Wave Minoru Fujishima Our position We are circuit designers. Our final target is not device modeling, but chip demonstration. Provided device

More information

Research Overview. Payam Heydari Nanoscale Communication IC Lab University of California, Irvine, CA

Research Overview. Payam Heydari Nanoscale Communication IC Lab University of California, Irvine, CA Research Overview Payam Heydari Nanoscale Communication IC Lab University of California, Irvine, CA NCIC Lab (Sub)-MMW measurement facility for frequencies up to 120GHz Students 11 Ph.D. students and 2

More information

Above 200 GHz On-Chip CMOS Frequency Generation, Transmission and Receiving

Above 200 GHz On-Chip CMOS Frequency Generation, Transmission and Receiving Above 200 GHz On-Chip CMOS Frequency Generation, Transmission and Receiving Bassam Khamaisi and Eran Socher Department of Physical Electronics Faculty of Engineering Tel-Aviv University Outline Background

More information

A Pulse-Based CMOS Ultra-Wideband Transmitter for WPANs

A Pulse-Based CMOS Ultra-Wideband Transmitter for WPANs A Pulse-Based CMOS Ultra-Wideband Transmitter for WPANs Murat Demirkan* Solid-State Circuits Research Laboratory University of California, Davis *Now with Agilent Technologies, Santa Clara, CA 03/20/2008

More information

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong Research and Development Activities in RF and Analog IC Design Howard Luong Analog Research Laboratory Department of Electrical and Electronic Engineering Hong Kong University of Science and Technology

More information

High Data Rate 60 GHz CMOS Transceiver Design

High Data Rate 60 GHz CMOS Transceiver Design High Data Rate 60 GHz CMOS Transceiver Design Akira Matsuzawa Tokyo Institute of Technology Contents 1 Background and Motivation Development of High Data Rate 60 GHz CMOS Transceivers High Data Rate Circuits

More information

Insights Into Circuits for Frequency Synthesis at mm-waves Andrea Mazzanti Università di Pavia, Italy

Insights Into Circuits for Frequency Synthesis at mm-waves Andrea Mazzanti Università di Pavia, Italy RFIC2014, Tampa Bay June 1-3, 2014 Insights Into Circuits for Frequency Synthesis at mm-waves Andrea Mazzanti Università di Pavia, Italy High data rate wireless networks MAN / LAN PAN ~7GHz of unlicensed

More information

High-Linearity CMOS. RF Front-End Circuits

High-Linearity CMOS. RF Front-End Circuits High-Linearity CMOS RF Front-End Circuits Yongwang Ding Ramesh Harjani iigh-linearity CMOS tf Front-End Circuits - Springer Library of Congress Cataloging-in-Publication Data A C.I.P. Catalogue record

More information

An Energy Efficient 1 Gb/s, 6-to-10 GHz CMOS IR-UWB Transmitter and Receiver With Embedded On-Chip Antenna

An Energy Efficient 1 Gb/s, 6-to-10 GHz CMOS IR-UWB Transmitter and Receiver With Embedded On-Chip Antenna An Energy Efficient 1 Gb/s, 6-to-10 GHz CMOS IR-UWB Transmitter and Receiver With Embedded On-Chip Antenna Zeshan Ahmad, Khaled Al-Ashmouny, Kuo-Ken Huang EECS 522 Analog Integrated Circuits (Winter 09)

More information

Design of mm-wave Injection Locking Power Amplifier. Student: Jiafu Lin Supervisor: Asst. Prof. Boon Chirn Chye

Design of mm-wave Injection Locking Power Amplifier. Student: Jiafu Lin Supervisor: Asst. Prof. Boon Chirn Chye Design of mm-wave Injection Locking Power Amplifier Student: Jiafu Lin Supervisor: Asst. Prof. Boon Chirn Chye 1 Design Review Ref. Process Topology VDD (V) RFIC 2008[1] JSSC 2007[2] JSSC 2009[3] JSSC

More information

A 6-bit Subranging ADC using Single CDAC Interpolation

A 6-bit Subranging ADC using Single CDAC Interpolation A 6-bit Subranging ADC using Single CDAC Interpolation Hyunui Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline Background Interpolation techniques 6-bit, 500 MS/s

More information

A Three-Stage 60GHz CMOS LNA Using Dual Noise-Matching Technique for 5dB NF

A Three-Stage 60GHz CMOS LNA Using Dual Noise-Matching Technique for 5dB NF A Three-Stage 60GHz CMOS LNA Using Dual Noise-Matching Technique for 5dB NF Ning Li 1, Kenichi Okada 1, Toshihide Suzuki 2, Tatsuya Hirose 2 and Akira 1 1. Tokyo Institute of Technology, Japan 2. Advanced

More information

Education on CMOS RF Circuit Reliability

Education on CMOS RF Circuit Reliability Education on CMOS RF Circuit Reliability Jiann S. Yuan 1 Abstract This paper presents a design methodology to study RF circuit performance degradations due to hot carrier and soft breakdown. The experimental

More information

95GHz Receiver with Fundamental Frequency VCO and Static Frequency Divider in 65nm Digital CMOS

95GHz Receiver with Fundamental Frequency VCO and Static Frequency Divider in 65nm Digital CMOS 95GHz Receiver with Fundamental Frequency VCO and Static Frequency Divider in 65nm Digital CMOS Ekaterina Laskin, Mehdi Khanpour, Ricardo Aroca, Keith W. Tang, Patrice Garcia 1, Sorin P. Voinigescu University

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

SiNANO-NEREID Workshop:

SiNANO-NEREID Workshop: SiNANO-NEREID Workshop: Towards a new NanoElectronics Roadmap for Europe Leuven, September 11 th, 2017 WP3/Task 3.2 Connectivity RF and mmw Design Outline Connectivity, what connectivity? High data rates

More information

Updates on THz Amplifiers and Transceiver Architecture

Updates on THz Amplifiers and Transceiver Architecture Updates on THz Amplifiers and Transceiver Architecture Sanggeun Jeon, Young-Chai Ko, Moonil Kim, Jae-Sung Rieh, Jun Heo, Sangheon Pack, and Chulhee Kang School of Electrical Engineering Korea University

More information

ENEE 307 Laboratory#2 (n-mosfet, p-mosfet, and a single n-mosfet amplifier in the common source configuration)

ENEE 307 Laboratory#2 (n-mosfet, p-mosfet, and a single n-mosfet amplifier in the common source configuration) Revised 2/16/2007 ENEE 307 Laboratory#2 (n-mosfet, p-mosfet, and a single n-mosfet amplifier in the common source configuration) *NOTE: The text mentioned below refers to the Sedra/Smith, 5th edition.

More information

A 60GHz Transceiver RF Front-End

A 60GHz Transceiver RF Front-End TAMU ECEN625 FINAL PROJECT REPORT 1 A 60GHz Transceiver RF Front-End Xiangyong Zhou, UIN 421002457, Qiaochu Yang, UIN 221007758, Abstract This final report presents a 60GHz two-step conversion heterodyne

More information

A 2.4-GHz 24-dBm SOI CMOS Power Amplifier with Fully Integrated Output Balun and Switched Capacitors for Load Line Adaptation

A 2.4-GHz 24-dBm SOI CMOS Power Amplifier with Fully Integrated Output Balun and Switched Capacitors for Load Line Adaptation A 2.4-GHz 24-dBm SOI CMOS Power Amplifier with Fully Integrated Output Balun and Switched Capacitors for Load Line Adaptation Francesco Carrara 1, Calogero D. Presti 2,1, Fausto Pappalardo 1, and Giuseppe

More information

Design of High Gain and Low Noise CMOS Gilbert Cell Mixer for Receiver Front End Design

Design of High Gain and Low Noise CMOS Gilbert Cell Mixer for Receiver Front End Design 2016 International Conference on Information Technology Design of High Gain and Low Noise CMOS Gilbert Cell Mixer for Receiver Front End Design Shasanka Sekhar Rout Department of Electronics & Telecommunication

More information

A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs

A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs 1 A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs Masaya Miyahara, Yusuke Asada, Daehwa Paik and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline 2 Motivation The Calibration

More information

Project: IEEE P Working Group for Wireless Personal Area Networks N

Project: IEEE P Working Group for Wireless Personal Area Networks N Project: IEEE P802.15 Working Group for Wireless Personal Area Networks N (WPANs( WPANs) Title: [60GHz-band Gigabit Transceivers and Their Applications ] Date Submitted: [12 January 2004] Source: [Kenichi

More information

TU3B-1. An 81 GHz, 470 mw, 1.1 mm 2 InP HBT Power Amplifier with 4:1 Series Power Combining using Sub-quarter-wavelength Baluns

TU3B-1. An 81 GHz, 470 mw, 1.1 mm 2 InP HBT Power Amplifier with 4:1 Series Power Combining using Sub-quarter-wavelength Baluns TU3B-1 Student Paper Finalist An 81 GHz, 470 mw, 1.1 mm 2 InP HBT Power Amplifier with 4:1 Series Power Combining using Sub-quarter-wavelength Baluns H. Park 1, S. Daneshgar 1, J. C. Rode 1, Z. Griffith

More information

Design Considerations for 5G mm-wave Receivers. Stefan Andersson, Lars Sundström, and Sven Mattisson

Design Considerations for 5G mm-wave Receivers. Stefan Andersson, Lars Sundström, and Sven Mattisson Design Considerations for 5G mm-wave Receivers Stefan Andersson, Lars Sundström, and Sven Mattisson Outline Introduction to 5G @ mm-waves mm-wave on-chip frequency generation mm-wave analog front-end design

More information

Int. J. Electron. Commun. (AEU)

Int. J. Electron. Commun. (AEU) Int. J. Electron. Commun. (AEÜ) 64 (2010) 978 -- 982 Contents lists available at ScienceDirect Int. J. Electron. Commun. (AEU) journal homepage: www.elsevier.de/aeue LETTER Linearization technique using

More information

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 5, MAY 2001 831 A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design Gerhard Knoblinger, Member, IEEE,

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 20.5 A 2.4GHz CMOS Transceiver and Baseband Processor Chipset for 802.11b Wireless LAN Application George Chien, Weishi Feng, Yungping

More information

An All CMOS, 2.4 GHz, Fully Adaptive, Scalable, Frequency Hopped Transceiver

An All CMOS, 2.4 GHz, Fully Adaptive, Scalable, Frequency Hopped Transceiver An All CMOS, 2.4 GHz, Fully Adaptive, Scalable, Frequency Hopped Transceiver Farbod Behbahani John Leete Alexandre Kral Shahrzad Tadjpour Karapet Khanoyan Paul J. Chang Hooman Darabi Maryam Rofougaran

More information

POSTECH Activities on CMOS based Linear Power Amplifiers

POSTECH Activities on CMOS based Linear Power Amplifiers 1 POSTECH Activities on CMOS based Linear Power Amplifiers Jan. 16. 2006 Bumman Kim, & Jongchan Kang MMIC Laboratory Department of EE, POSTECH Presentation Outline 2 Motivation Basic Design Approach CMOS

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique

A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique 1 A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique Masaya Miyahara and Akira Matsuzawa Tokyo Institute of Technology, Japan 2 Outline Motivation Design Concept

More information

Millimeter-wave wireless R&D status in Panasonic and future research

Millimeter-wave wireless R&D status in Panasonic and future research Millimeter-wave wireless R&D status in Panasonic and future research 4th Japan-EU Symposium 19 th January, 2012 Michiaki MATSUO Kazuaki TAKAHASHI Panasonic corporation Outline Panasonic s R&D activities

More information

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design Chapter 6 Case Study: 2.4-GHz Direct Conversion Receiver The chapter presents a 0.25-µm CMOS receiver front-end designed for 2.4-GHz direct conversion RF transceiver and demonstrates the necessity and

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 Lecture 10: Electroabsorption Modulator Transmitters Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

WITH mobile communication technologies, such as longterm

WITH mobile communication technologies, such as longterm IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 63, NO. 6, JUNE 206 533 A Two-Stage Broadband Fully Integrated CMOS Linear Power Amplifier for LTE Applications Kihyun Kim, Jaeyong Ko,

More information

Technical Paper FA 10.3

Technical Paper FA 10.3 Technical Paper A 0.9V 150MHz 10mW 4mm 2 2-D Discrete Cosine Transform Core Processor with Variable-Threshold-Voltage Scheme Tadahiro Kuroda, Tetsuya Fujita, Shinji Mita, Tetsu Nagamatu, Shinichi Yoshioka,

More information

Introduction to CMOS RF Integrated Circuits Design

Introduction to CMOS RF Integrated Circuits Design VII. ower Amplifiers VII-1 Outline Functionality Figures of Merit A Design Classical Design (Class A, B, C) High-Efficiency Design (Class E, F) Matching Network Linearity T/R Switches VII-2 As and TRs

More information

A 9.35-ENOB, 14.8 fj/conv.-step Fully- Passive Noise-Shaping SAR ADC

A 9.35-ENOB, 14.8 fj/conv.-step Fully- Passive Noise-Shaping SAR ADC A 9.35-ENOB, 14.8 fj/conv.-step Fully- Passive Noise-Shaping SAR ADC Zhijie Chen, Masaya Miyahara, Akira Matsuzawa Tokyo Institute of Technology Symposia on VLSI Technology and Circuits Outline Background

More information

Pulse-Based Ultra-Wideband Transmitters for Digital Communication

Pulse-Based Ultra-Wideband Transmitters for Digital Communication Pulse-Based Ultra-Wideband Transmitters for Digital Communication Ph.D. Thesis Defense David Wentzloff Thesis Committee: Prof. Anantha Chandrakasan (Advisor) Prof. Joel Dawson Prof. Charles Sodini Ultra-Wideband

More information

Reliability of deep submicron MOSFETs

Reliability of deep submicron MOSFETs Invited paper Reliability of deep submicron MOSFETs Francis Balestra Abstract In this work, a review of the reliability of n- and p-channel Si and SOI MOSFETs as a function of gate length and temperature

More information

Session 3. CMOS RF IC Design Principles

Session 3. CMOS RF IC Design Principles Session 3 CMOS RF IC Design Principles Session Delivered by: D. Varun 1 Session Topics Standards RF wireless communications Multi standard RF transceivers RF front end architectures Frequency down conversion

More information

Linearization Method Using Variable Capacitance in Inter-Stage Matching Networks for CMOS Power Amplifier

Linearization Method Using Variable Capacitance in Inter-Stage Matching Networks for CMOS Power Amplifier Linearization Method Using Variable Capacitance in Inter-Stage Matching Networks for CMOS Power Amplifier Jaehyuk Yoon* (corresponding author) School of Electronic Engineering, College of Information Technology,

More information

Radio-Frequency Circuits Integration Using CMOS SOI 0.25µm Technology

Radio-Frequency Circuits Integration Using CMOS SOI 0.25µm Technology Radio-Frequency Circuits Integration Using CMOS SOI.5µm Technology Frederic Hameau and Olivier Rozeau CEA/LETI - 7, rue des Martyrs -F-3854 GRENOBLE FRANCE cedex 9 frederic.hameau@cea.fr olivier.rozeau@cea.fr

More information

Lecture-45. MOS Field-Effect-Transistors Threshold voltage

Lecture-45. MOS Field-Effect-Transistors Threshold voltage Lecture-45 MOS Field-Effect-Transistors 7.4. Threshold voltage In this section we summarize the calculation of the threshold voltage and discuss the dependence of the threshold voltage on the bias applied

More information

FD-SOI FOR RF IC DESIGN. SITRI LETI Workshop Mercier Eric 08 september 2016

FD-SOI FOR RF IC DESIGN. SITRI LETI Workshop Mercier Eric 08 september 2016 FD-SOI FOR RF IC DESIGN SITRI LETI Workshop Mercier Eric 08 september 2016 UTBB 28 nm FD-SOI : RF DIRECT BENEFITS (1/2) 3 back-end options available Routing possible on the AluCap level no restriction

More information

Substrate Noise Isolation Improvement by Helium-3 Ion Irradiation Technique in a Triple-well CMOS Process

Substrate Noise Isolation Improvement by Helium-3 Ion Irradiation Technique in a Triple-well CMOS Process Substrate Noise Isolation Improvement by Helium-3 Ion Irradiation Technique in a Triple-well CMOS Process Ning Li 1, Takeshi Inoue 2, Takuichi Hirano 1, Jian Pang 1, Rui Wu 1, Kenichi Okada 1, Hitoshi

More information

DESIGN OF 3 TO 5 GHz CMOS LOW NOISE AMPLIFIER FOR ULTRA-WIDEBAND (UWB) SYSTEM

DESIGN OF 3 TO 5 GHz CMOS LOW NOISE AMPLIFIER FOR ULTRA-WIDEBAND (UWB) SYSTEM Progress In Electromagnetics Research C, Vol. 9, 25 34, 2009 DESIGN OF 3 TO 5 GHz CMOS LOW NOISE AMPLIFIER FOR ULTRA-WIDEBAND (UWB) SYSTEM S.-K. Wong and F. Kung Faculty of Engineering Multimedia University

More information

Technology Advantages for Analog/RF & Mixed-Signal Designs

Technology Advantages for Analog/RF & Mixed-Signal Designs Technology Advantages for Analog/RF & Mixed-Signal Designs Andreia Cathelin STMicroelectronics, Crolles, France SOI Consortium Forum, Tokyo, January 21 st, 2016 Agenda 2 At a glance ST 28nm UTBB FD-SOI

More information

65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers

65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers 65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers Michael Gordon, Terry Yao, Sorin P. Voinigescu University of Toronto March 10 2006, UBC, Vancouver Outline Motivation mm-wave

More information

A stability-improved single-opamp third-order ΣΔ modulator by using a fully-passive noise-shaping SAR ADC and passive adder

A stability-improved single-opamp third-order ΣΔ modulator by using a fully-passive noise-shaping SAR ADC and passive adder A stability-improved single-opamp third-order ΣΔ modulator by using a fully-passive noise-shaping SAR ADC and passive adder Zhijie Chen, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology,

More information

Fully integrated CMOS transmitter design considerations

Fully integrated CMOS transmitter design considerations Semiconductor Technology Fully integrated CMOS transmitter design considerations Traditionally, multiple IC chips are needed to build transmitters (Tx) used in wireless communications. The difficulty with

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement and Noise Cancellation

A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement and Noise Cancellation 2017 International Conference on Electronic, Control, Automation and Mechanical Engineering (ECAME 2017) ISBN: 978-1-60595-523-0 A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement

More information

An Inductor-Based 52-GHz 0.18 µm SiGe HBT Cascode LNA with 22 db Gain

An Inductor-Based 52-GHz 0.18 µm SiGe HBT Cascode LNA with 22 db Gain An Inductor-Based 52-GHz 0.18 µm SiGe HBT Cascode LNA with 22 db Gain Michael Gordon, Sorin P. Voinigescu University of Toronto Toronto, Ontario, Canada ESSCIRC 2004, Leuven, Belgium Outline Motivation

More information

GaN Power Amplifiers for Next- Generation Wireless Communications

GaN Power Amplifiers for Next- Generation Wireless Communications GaN Power Amplifiers for Next- Generation Wireless Communications Jennifer Kitchen Arizona State University Students: Ruhul Hasin, Mahdi Javid, Soroush Moallemi, Shishir Shukla, Rick Welker Wireless Communications

More information

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random 45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11 Process-induced Variability I: Random Random Variability Sources and Characterization Comparisons of Different MOSFET

More information

Designing CMOS Wireless System-on-a-chip

Designing CMOS Wireless System-on-a-chip Designing CMOS Wireless System-on-a-chip David Su david.su@atheros.com Atheros Communications Santa Clara, California Santa Clara SSCS (c) D. Su Santa Clara SSCS September 2009 p.1 Outline Introduction

More information

Quadrature GPS Receiver Front-End in 0.13μm CMOS: The QLMV cell

Quadrature GPS Receiver Front-End in 0.13μm CMOS: The QLMV cell 1 Quadrature GPS Receiver Front-End in 0.13μm CMOS: The QLMV cell Yee-Huan Ng, Po-Chia Lai, and Jia Ruan Abstract This paper presents a GPS receiver front end design that is based on the single-stage quadrature

More information

Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity

Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity Marvin Onabajo Assistant Professor Analog and Mixed-Signal Integrated Circuits (AMSIC) Research Laboratory Dept.

More information

Tunneling Field Effect Transistors for Low Power ULSI

Tunneling Field Effect Transistors for Low Power ULSI Tunneling Field Effect Transistors for Low Power ULSI Byung-Gook Park Inter-university Semiconductor Research Center and School of Electrical and Computer Engineering Seoul National University Outline

More information

A 484µm 2, 21GHz LC-VCO Beneath a Stacked-Spiral Inductor

A 484µm 2, 21GHz LC-VCO Beneath a Stacked-Spiral Inductor A 484µm, GHz LC-VCO Beneath a Stacked-Spiral Inductor Rui Murakami, Kenichi Okada, and Akira Tokyo Institute of Technology, Japan 00/09/8 Contents Background Downsizing of LC-VCO Circuit Stacking Beneath

More information

Bridging the Gap between System & Circuit Designers

Bridging the Gap between System & Circuit Designers Bridging the Gap between System & Circuit Designers October 27, 2004 Presented by: Kal Kalbasi Q & A Marc Petersen Copyright 2003 Agilent Technologies, Inc. The Gap System Communication System Design System

More information

Stacked-FET linear SOI CMOS SPDT antenna switch with input P1dB greater than

Stacked-FET linear SOI CMOS SPDT antenna switch with input P1dB greater than LETTER IEICE Electronics Express, Vol.9, No.24, 1813 1822 Stacked-FET linear SOI CMOS SPDT antenna switch with input P1dB greater than 40 dbm Donggu Im 1a) and Kwyro Lee 1,2 1 Department of EE, Korea Advanced

More information

DESIGN OF ZIGBEE RF FRONT END IC IN 2.4 GHz ISM BAND

DESIGN OF ZIGBEE RF FRONT END IC IN 2.4 GHz ISM BAND DESIGN OF ZIGBEE RF FRONT END IC IN 2.4 GHz ISM BAND SUCHITAV KHADANGA RFIC TECHNOLOGIES, BANGALORE, INDIA http://www.rficdesign.com Team-RV COLLEGE Ashray V K D V Raghu Sanjith P Hemagiri Rahul Verma

More information

A 1.6-to-3.2/4.8 GHz Dual Modulus Injection-Locked Frequency Multiplier in

A 1.6-to-3.2/4.8 GHz Dual Modulus Injection-Locked Frequency Multiplier in RTU1D-2 LAICS A 1.6-to-3.2/4.8 GHz Dual Modulus Injection-Locked Frequency Multiplier in 0.18µm CMOS L. Zhang, D. Karasiewicz, B. Ciftcioglu and H. Wu Laboratory for Advanced Integrated Circuits and Systems

More information

Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits

Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits Saravana Maruthamuthu, Wireless Group Infineon Technologies India Private

More information

New Generation Reliability Model

New Generation Reliability Model New Generation Reliability Model S.-Y. Liao, C. Huang, T. Guo, A. Chen, Jushan Xie, Cadence Design Systems, Inc. S. Guo, R. Wang, Z. Yu, P. Hao, P. Ren, Y. Wang, R. Huang, Peking University Dec. 5th, 2016

More information