New Generation Reliability Model

Size: px
Start display at page:

Download "New Generation Reliability Model"

Transcription

1 New Generation Reliability Model S.-Y. Liao, C. Huang, T. Guo, A. Chen, Jushan Xie, Cadence Design Systems, Inc. S. Guo, R. Wang, Z. Yu, P. Hao, P. Ren, Y. Wang, R. Huang, Peking University Dec. 5th, 2016

2 Agenda Advanced MOSFET and FinFET Reliability Challenge New Generation Reliability Model Verification Reliability Simulation Flow and ADE Integration Summary Cadence Design Systems, Inc. All rights reserved.

3 Advanced MOSFET and FinFET reliability challenge Cadence Design Systems, Inc. All rights reserved.

4 MOSFET Reliability Comparing fresh data (solid line) with different stress time data (dot line) Cadence Design Systems, Inc. All rights reserved.

5 HCI (Hot Carrier Injection) Ben Kaczer, IEDM 2016 tutorial Cadence Design Systems, Inc. All rights reserved.

6 BTI (Bias Temperature Instability) Cadence Design Systems, Inc. All rights reserved.

7 TDDB (Time-Dependent Dielectric Breakdown) Ben Kaczer, IEDM 2016 tutorial Cadence Design Systems, Inc. All rights reserved.

8 Long Channel Device Reliability Data/Model HCI model BTI (stress) model: C. Hu, IEEE TED, 1985 All degradation effects are modeled and predicted as a power function versus time. N. Kimizuka, IEEE VLSI, Cadence Design Systems, Inc. All rights reserved.

9 Saturation Effect of HCI in Advanced MOSFET and FinFET Planar MOSFET I DS degradation 60% 50% 40% 30% 20% 10% 0% 100.0% FinFET nfinfet 15nm 25nm lin-lin Stress Time [s] log -log I DS degradation 10.0% 1.0% 15nm 25nm V. Huard, IEDM, % Stress Time [s] Interface trap saturation, not always power function C.L. Lin, TED, Cadence Design Systems, Inc. All rights reserved.

10 Recovery Effect and Recovery Model Extrapolation in BTI V GS2 V GS1 V GR1 V GR2 V G V GS3 Pattern 1 Pattern 2 (a) V TH t 1 t 2 t 12 Time V TH0 Pattern 1 Pattern 2 (b) t 1 t 2 t 12 Time No good model, especially recovery extrapolation algorithms for BTI history and recovery Cadence Design Systems, Inc. All rights reserved. 10

11 New Generation Reliability Model Cadence Design Systems, Inc. All rights reserved.

12 New generation reliability models Including physical and more accurate HCI and BTI models HCI model can reproduce the saturation effect if stress time is long enough The BTI model includes: Accurate BTI stress model Include physical recovery effect Capable to handle more complex equations Consider recovery effect for extrapolation Cadence Design Systems, Inc. All rights reserved.

13 Model result demonstration HCI models Degradation saturation effect HCI (Vgs = 1V) Degradation saturation 1.E-01 1.E-03 1.E-05 1.E-07 1.E-09 1.E-11 Vds = 0.8V 1.2V 1.6V 1.E-13 1.E-08 1.E-06 1.E-04 1.E-02 1.E+00 1.E+02 1.E+04 1.E+06 1.E+08 V. Huard, IEDM, Cadence Design Systems, Inc. All rights reserved.

14 Model result demonstration BTI models History effect: sequential simulation step Vgs stress Vgs 1 Stress phase Vgs 3 Stress phase Vgs 2 Recovery phase Deg Time 0 t1 t2 t3 Time Cadence Design Systems, Inc. All rights reserved.

15 BTI model with recovery effect -1.6V -1.4V Degradation [a. u.) -1V -1.2V Stress only Simu. Result 0V Vgs Time [s] Cadence Design Systems, Inc. All rights reserved.

16 BTI model prediction with recovery effect Vgs Duty = 50% Time A periodic square waveform is applied on an invertor during a few milliseconds. Then prediction for 3 years. VTH (a.u.) w/ recovery wo/ recovery VTH (a.u.) Iterated results Iteration step: N=10 (a) Time(s) 10 9 Over-estimation in 3 years Cadence Design Systems, Inc. All rights reserved.

17 BTI model with different recovery voltage Vgs Wave A duty = 1 Wave B Time The duty cycle is varied from 0% to 100% for two gate bias waves with different recovery bias values. When duty = 100%, a constant stress bias is applied. VTH (a.u.) Waveform Type B results A Waveform Type A results B Const. stress Degradation@3 years (c) Duty factor Cadence Design Systems, Inc. All rights reserved.

18 Model result demonstration BTI model Extrapolation: 13-stage ring oscillator from fresh to 3 years. Voltage [V] Ring oscillator output signal Fresh after 1 sec hours 3.17 years E-10 1E E-09 2E-09 Time [s] Cadence Design Systems, Inc. All rights reserved.

19 Reliability flow and ADE integration Cadence Design Systems, Inc. All rights reserved.

20 Virtuoso Reliability Simulation Solution Cadence Design Systems, Inc. All rights reserved.

21 Reliability Simulation Setting in ADE Open reliability setting dialog box Simulation Reliability Setup Enable RelXpert or Spectre Native For some advanced settings and special features, go through these tabs. Simulation Mode Reliability Effect Setting Other Reliability Options Cadence Design Systems, Inc. All rights reserved.

22 Reliability Analysis Results Schematic annotation Waveform overlay Degradation output Cadence Design Systems, Inc. All rights reserved.

23 Aging Analysis Output Example Device Trise Device degradation Rank Instance dtemperature didsat(hci+bti, %) didlin(hci+bti, %) dvtlin(hci+bti, V) 1 I1.M e e e e e e-01 2 I0.M e e e e e e-01 3 I12.M e e e e e e-01 4 I9.M e e e e e e-01 5 I8.M e e e e e e-01 6 I11.M e e e e e e-01 7 I4.M e e e e e e-01 8 I6.M e e e e e e-01 9 I10.M e-01 Input.tmideg Cadence Design Systems, Inc. All rights reserved.

24 Summary Cadence Design Systems, Inc. All rights reserved.

25 Summary New generation reliability model developed HCI model with saturation effect The BTI model includes: Accurate BTI stress model Capable to handle more complex equations Include recovery effect Consider recovery effect for extrapolation Cadence Design Systems, Inc. All rights reserved.

26

Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose

Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose Kazutoshi Kobayashi Kyoto Institute of Technology Kyoto, Japan kazutoshi.kobayashi@kit.ac.jp

More information

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5 Eigen # Gate Gate Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET Lecture 5 Thin-Body MOSFET Carrier Transport quantum confinement effects low-field mobility: Orientation and Si Thickness

More information

WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS

WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS HOW TO MINIMIZE DESIGN MARGINS WITH ACCURATE ADVANCED TRANSISTOR DEGRADATION MODELS Reliability is a major criterion for

More information

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop)

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) March 2016 DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) Ron Newhart Distinguished Engineer IBM Corporation March 19, 2016 1 2016 IBM Corporation Background

More information

A Methodology for Measuring Transistor Ageing Effects Towards Accurate Reliability Simulation

A Methodology for Measuring Transistor Ageing Effects Towards Accurate Reliability Simulation A Methodology for Measuring Transistor Ageing Effects Towards Accurate Reliability Simulation Elie Maricau and Georges Gielen ESAT-MICAS KULeuven Heverlee-Leuven, Belgium 3001 Email: elie.maricau@esat.kuleuven.be

More information

Introducing Pulsing into Reliability Tests for Advanced CMOS Technologies

Introducing Pulsing into Reliability Tests for Advanced CMOS Technologies WHITE PAPER Introducing Pulsing into Reliability Tests for Advanced CMOS Technologies Pete Hulbert, Industry Consultant Yuegang Zhao, Lead Applications Engineer Keithley Instruments, Inc. AC, or pulsed,

More information

Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates

Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates Seyab Khan Said Hamdioui Abstract Bias Temperature Instability (BTI) and parameter variations are threats to reliability

More information

Impact of Interconnect Length on BTI and HCI Induced Frequency Degradation

Impact of Interconnect Length on BTI and HCI Induced Frequency Degradation Impact of Interconnect Length on BTI and HCI Induced Frequency Degradation Xiaofei Wang Pulkit Jain Dong Jiao Chris H. Kim Department of Electrical & Computer Engineering University of Minnesota 200 Union

More information

Acknowledgments: This work was supported by Air Force HiREV program and the DTRA Basic Research Program.

Acknowledgments: This work was supported by Air Force HiREV program and the DTRA Basic Research Program. Gate Bias and Geometry Dependence of Total-Ionizing-Dose Effects in InGaAs Quantum-Well MOSFETs K. Ni 1, E. X. Zhang 1, R. D. Schrimpf 1, D. M. Fleetwood 1, R. A. Reed 1, M. L. Alles 1, J. Lin 2, and J.

More information

Impact of Interconnect Length on. Degradation

Impact of Interconnect Length on. Degradation Impact of Interconnect Length on BTI and HCI Induced Frequency Degradation Xiaofei Wang, Pulkit Jain, Dong Jiao and Chris H. Kim University of Minnesota, Minneapolis, MN xfwang@umn.edu www.umn.edu/~chriskim/

More information

Effect of Aging on Power Integrity of Digital Integrated Circuits

Effect of Aging on Power Integrity of Digital Integrated Circuits Effect of Aging on Power Integrity of Digital Integrated Circuits A. Boyer, S. Ben Dhia Alexandre.boyer@laas.fr Sonia.bendhia@laas.fr 1 May 14 th, 2013 Introduction and context Long time operation Harsh

More information

An Array-Based Circuit for Characterizing Latent Plasma-Induced Damage

An Array-Based Circuit for Characterizing Latent Plasma-Induced Damage An Array-Based Circuit for Characterizing Latent Plasma-Induced Damage Won Ho Choi, Pulkit Jain and Chris H. Kim University of Minnesota, Minneapolis, MN choi0444@umn.edu www.umn.edu/~chriskim/ Purpose

More information

Modeling and Simulation Tools for Aging Effects in Scaled CMOS Design. Ketul Sutaria

Modeling and Simulation Tools for Aging Effects in Scaled CMOS Design. Ketul Sutaria Modeling and Simulation Tools for Aging Effects in Scaled CMOS Design by Ketul Sutaria A Dissertation Presented in Partial Fulfillment of the Requirements for the Degree Doctor of Philosophy Approved December

More information

Power. GaN. Rdyn in hard and soft-switching applications. P. Gassot, P. Moens, M. Tack, Corporate R&D Bodo Power Conference Munich, Dec.

Power. GaN. Rdyn in hard and soft-switching applications. P. Gassot, P. Moens, M. Tack, Corporate R&D Bodo Power Conference Munich, Dec. Power GaN Rdyn in hard and soft-switching applications P. Gassot, P. Moens, M. Tack, Corporate R&D Bodo Power Conference Munich, Dec. 2017 Acknowledgements The authors wish to acknowledge and thank the

More information

An HCI-Healing 60GHz CMOS Transceiver

An HCI-Healing 60GHz CMOS Transceiver An HCI-Healing 60GHz CMOS Transceiver Rui Wu, Seitaro Kawai, Yuuki Seo, Kento Kimura, Shinji Sato, Satoshi Kondo, Tomohiro Ueno, Nurul Fajri, Shoutarou Maki, Noriaki Nagashima, Yasuaki Takeuchi, Tatsuya

More information

Education on CMOS RF Circuit Reliability

Education on CMOS RF Circuit Reliability Education on CMOS RF Circuit Reliability Jiann S. Yuan 1 Abstract This paper presents a design methodology to study RF circuit performance degradations due to hot carrier and soft breakdown. The experimental

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 5: Transistor Models Projects Groups of 3 Proposals in two weeks (2/20) Topics: Soft errors in datapaths Soft errors in memory Integration

More information

Analog Circuit Reliability in Sub-32 Nanometer CMOS: Analysis and Mitigation

Analog Circuit Reliability in Sub-32 Nanometer CMOS: Analysis and Mitigation Analog Circuit Reliability in Sub-32 Nanometer CMOS: Analysis and Mitigation Georges Gielen, Elie Maricau and Pieter De Wit ESAT-MICAS, K.U.Leuven, Belgium Abstract The paper discusses reliability threats

More information

Semiconductor TCAD Tools

Semiconductor TCAD Tools Device Design Consideration for Nanoscale MOSFET Using Semiconductor TCAD Tools Teoh Chin Hong and Razali Ismail Department of Microelectronics and Computer Engineering, Universiti Teknologi Malaysia,

More information

Lecture-45. MOS Field-Effect-Transistors Threshold voltage

Lecture-45. MOS Field-Effect-Transistors Threshold voltage Lecture-45 MOS Field-Effect-Transistors 7.4. Threshold voltage In this section we summarize the calculation of the threshold voltage and discuss the dependence of the threshold voltage on the bias applied

More information

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 4, APRIL

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 4, APRIL IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 4, APRIL 2010 817 An All-In-One Silicon Odometer for Separately Monitoring HCI, BTI, and TDDB John Keane, Student Member, IEEE, Xiaofei Wang, Student

More information

8. Characteristics of Field Effect Transistor (MOSFET)

8. Characteristics of Field Effect Transistor (MOSFET) 1 8. Characteristics of Field Effect Transistor (MOSFET) 8.1. Objectives The purpose of this experiment is to measure input and output characteristics of n-channel and p- channel field effect transistors

More information

All-Digital PLL Frequency and Phase Noise Degradation Measurements Using Simple On-Chip Monitoring Circuits

All-Digital PLL Frequency and Phase Noise Degradation Measurements Using Simple On-Chip Monitoring Circuits All-Digital PLL Frequency and Noise Degradation Measurements Using Simple On-Chip Monitoring Circuits Gyusung Park, Minsu Kim and Chris H. Kim Department of Electrical and Computer Engineering University

More information

0.85V. 2. vs. I W / L

0.85V. 2. vs. I W / L EE501 Lab3 Exploring Transistor Characteristics and Design Common-Source Amplifiers Lab report due on September 22, 2016 Objectives: 1. Be familiar with characteristics of MOSFET such as gain, speed, power,

More information

Duty-Cycle Shift under Asymmetric BTI Aging: A Simple Characterization Method and its Application to SRAM Timing 1 Xiaofei Wang

Duty-Cycle Shift under Asymmetric BTI Aging: A Simple Characterization Method and its Application to SRAM Timing 1 Xiaofei Wang Duty-Cycle Shift under Asymmetric BTI Aging: A Simple Characterization Method and its Application to SRAM Timing 1 Xiaofei Wang Abstract the effect of DC BTI stress on the clock signal's dutycycle has

More information

Bias Stress Testing of SiC MOSFETs

Bias Stress Testing of SiC MOSFETs Bias Stress Testing of SiC MOSFETs Robert Shaw Manager, Test and Qualification August 15 th, 2014 Special thanks to the U.S. Department of Energy for funding this under SBIR DE-SC0011315. Outline Objectives

More information

Integrated Circuit Intrinsic Reliability

Integrated Circuit Intrinsic Reliability Integrated Circuit Intrinsic Reliability Dennis Eaton Agilent Technologies dennis_eaton@agilent.com IEEE Solid-State Circuits Society February 16, 2005 Dennis Eaton (c) IEEE SSC Society Feb. 16, 2005 1

More information

Sub-Threshold Region Behavior of Long Channel MOSFET

Sub-Threshold Region Behavior of Long Channel MOSFET Sub-threshold Region - So far, we have discussed the MOSFET behavior in linear region and saturation region - Sub-threshold region is refer to region where Vt is less than Vt - Sub-threshold region reflects

More information

Sensors & Transducers Published by IFSA Publishing, S. L.,

Sensors & Transducers Published by IFSA Publishing, S. L., Sensors & Transducers Published by IFSA Publishing, S. L., 2017 http://www.sensorsportal.com Modeling Nanoscale FinFET Performance by a Neural Network Method 1 Jin He*, 1 Guoqing Hu, 1 Bing Xie, 1 Guangjin

More information

DIGITAL VLSI LAB ASSIGNMENT 1

DIGITAL VLSI LAB ASSIGNMENT 1 DIGITAL VLSI LAB ASSIGNMENT 1 Problem 1: NMOS and PMOS plots using Cadence. In this exercise, you are required to generate both NMOS and PMOS I-V device characteristics (I/P and O/P) using Cadence (Use

More information

FinFET SPICE Modeling

FinFET SPICE Modeling FinFET SPICE Modeling Synopsys Solutions to Simulation Challenges of Advanced Technology Nodes Joddy Wang December 9, 2015 Outline SPICE Model for IC Design FinFET Modeling Challenges Solutions Summary

More information

Design and Implementation of less quiescent current, less dropout LDO Regulator in 90nm Technology Madhukumar A S #1, M.

Design and Implementation of less quiescent current, less dropout LDO Regulator in 90nm Technology Madhukumar A S #1, M. Design and Implementation of less quiescent current, less dropout LDO Regulator in 90nm Technology Madhukumar A S #1, M.Nagabhushan #2 #1 M.Tech student, Dept. of ECE. M.S.R.I.T, Bangalore, INDIA #2 Asst.

More information

4H-SiC V-Groove Trench MOSFETs with the Buried p + Regions

4H-SiC V-Groove Trench MOSFETs with the Buried p + Regions ELECTRONICS 4H-SiC V-Groove Trench MOSFETs with the Buried p + Regions Yu SAITOH*, Toru HIYOSHI, Keiji WADA, Takeyoshi MASUDA, Takashi TSUNO and Yasuki MIKAMURA ----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------

More information

Microelectronics Reliability

Microelectronics Reliability Microelectronics Reliability 50 (2010) 1039 1053 Contents lists available at ScienceDirect Microelectronics Reliability journal homepage: www.elsevier.com/locate/microrel Introductory Invited Paper On-chip

More information

a leap ahead in analog

a leap ahead in analog Analog modeling requirements for HV CMOS technology Ehrenfried Seebacher 2011-12-15 a leap ahead in analog Presentation Overview Design perspective on High Performance Analog HV CMOS Analog modeling requirements

More information

Design and Implementation of Multiplier using Advanced Booth Multiplier and Razor Flip Flop

Design and Implementation of Multiplier using Advanced Booth Multiplier and Razor Flip Flop Design and Implementation of Multiplier using Advanced Booth Multiplier and Razor Flip Flop Shubhangi Ramannawar 1, Deepak Kumar 2 1M.Tech. Scholar, Department of Electronics & Communication Engineering,

More information

SEVERAL III-V materials, due to their high electron

SEVERAL III-V materials, due to their high electron IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 64, NO. 1, JANUARY 2017 239 Gate Bias and Geometry Dependence of Total-Ionizing-Dose Effects in InGaAs Quantum-Well MOSFETs Kai Ni, Student Member, IEEE, En Xia

More information

How is a CMC Standard Model Implemented And Verified in a Simulator?

How is a CMC Standard Model Implemented And Verified in a Simulator? How is a CMC Standard Model Implemented And Verified in a Simulator? MOS-AK Workshop, Jushan Xie Vice Chairman of the CMC Senior Architect, Cadence Design Systems, Inc. 1 Content Benefit of CMC standard

More information

AS THE semiconductor process is scaled down, the thickness

AS THE semiconductor process is scaled down, the thickness IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 361 A New Schmitt Trigger Circuit in a 0.13-m 1/2.5-V CMOS Process to Receive 3.3-V Input Signals Shih-Lun Chen,

More information

RANDOM telegraph noise (RTN) has become an increasing

RANDOM telegraph noise (RTN) has become an increasing IEEE JOURNAL OF SOLID-STATE CIRCUITS 1 Characterizing the Impact of RTN on Logic and SRAM Operation Using a Dual Ring Oscillator Array Circuit Qianying Tang, Student Member, IEEE, andchrish.kim,senior

More information

Design of High Gain and Low Noise CMOS Gilbert Cell Mixer for Receiver Front End Design

Design of High Gain and Low Noise CMOS Gilbert Cell Mixer for Receiver Front End Design 2016 International Conference on Information Technology Design of High Gain and Low Noise CMOS Gilbert Cell Mixer for Receiver Front End Design Shasanka Sekhar Rout Department of Electronics & Telecommunication

More information

Fundamental Failure Mechanisms Limiting Maximum Voltage Operation in AlGaN/GaN HEMTs. Michael D. Hodge, Ramakrishna Vetury, and Jeffrey B.

Fundamental Failure Mechanisms Limiting Maximum Voltage Operation in AlGaN/GaN HEMTs. Michael D. Hodge, Ramakrishna Vetury, and Jeffrey B. Fundamental Failure Mechanisms Limiting Maximum Voltage Operation in AlGaN/GaN HEMTs Michael D. Hodge, Ramakrishna Vetury, and Jeffrey B. Shealy Purpose Propose a method of determining Safe Operating Area

More information

MEASUREMENT AND INSTRUMENTATION STUDY NOTES UNIT-I

MEASUREMENT AND INSTRUMENTATION STUDY NOTES UNIT-I MEASUREMENT AND INSTRUMENTATION STUDY NOTES The MOSFET The MOSFET Metal Oxide FET UNIT-I As well as the Junction Field Effect Transistor (JFET), there is another type of Field Effect Transistor available

More information

An Array-Based Odometer System for Statistically Significant Circuit Aging Characterization

An Array-Based Odometer System for Statistically Significant Circuit Aging Characterization 2374 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 46, NO. 10, OCTOBER 2011 An Array-Based Odometer System for Statistically Significant Circuit Aging Characterization John Keane, Member, IEEE, Wei Zhang,

More information

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area.

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area. Why Scaling? Higher density : Integration of more transistors onto a smaller chip : reducing the occupying area and production cost Higher Performance : Higher current drive : smaller metal to metal capacitance

More information

Fast Characterization of PBTI and NBTI Induced Frequency Shifts under a Realistic Recovery Bias Using a Ring Oscillator Based Circuit

Fast Characterization of PBTI and NBTI Induced Frequency Shifts under a Realistic Recovery Bias Using a Ring Oscillator Based Circuit Fast Characterization of PBTI and NBTI Induced Frequency Shifts under a Realistic Recovery Bias Using a Ring Oscillator Based Circuit 1,2 Xiaofei Wang, 1 Seung-hwan Song, 1 Ayan Paul and 1 Chris H. Kim

More information

Hybrid Behavioral-Analytical Loss Model for a High Frequency and Low Load DC-DC Buck Converter

Hybrid Behavioral-Analytical Loss Model for a High Frequency and Low Load DC-DC Buck Converter Hybrid Behavioral-Analytical Loss Model for a High Frequency and Low Load DC-DC Buck Converter D. Díaz, M. Vasić, O. García, J.A. Oliver, P. Alou, J.A. Cobos ABSTRACT This work presents a behavioral-analytical

More information

Depletion-mode operation ( 공핍형 ): Using an input gate voltage to effectively decrease the channel size of an FET

Depletion-mode operation ( 공핍형 ): Using an input gate voltage to effectively decrease the channel size of an FET Ch. 13 MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor : I D D-mode E-mode V g The gate oxide is made of dielectric SiO 2 with e = 3.9 Depletion-mode operation ( 공핍형 ): Using an input gate voltage

More information

A novel high performance 3 VDD-tolerant ESD detection circuit in advanced CMOS process

A novel high performance 3 VDD-tolerant ESD detection circuit in advanced CMOS process LETTER IEICE Electronics Express, Vol.14, No.21, 1 10 A novel high performance 3 VDD-tolerant ESD detection circuit in advanced CMOS process Xiaoyun Li, Houpeng Chen a), Yu Lei b), Qian Wang, Xi Li, Jie

More information

Design of Signed Multiplier Using T-Flip Flop

Design of Signed Multiplier Using T-Flip Flop African Journal of Basic & Applied Sciences 9 (5): 279-285, 2017 ISSN 2079-2034 IDOSI Publications, 2017 DOI: 10.5829/idosi.ajbas.2017.279.285 Design of Signed Multiplier Using T-Flip Flop 1 2 S.V. Venu

More information

I E I C since I B is very small

I E I C since I B is very small Figure 2: Symbols and nomenclature of a (a) npn and (b) pnp transistor. The BJT consists of three regions, emitter, base, and collector. The emitter and collector are usually of one type of doping, while

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Customized probe card for on-wafer testing of AlGaN/GaN power transistors

Customized probe card for on-wafer testing of AlGaN/GaN power transistors Customized probe card for on-wafer testing of AlGaN/GaN power transistors R. Venegas 1, K. Armendariz 2, N. Ronchi 1 1 imec, 2 Celadon Systems Inc. Outline Introduction GaN for power switching applications

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

ENEE 307 Laboratory#2 (n-mosfet, p-mosfet, and a single n-mosfet amplifier in the common source configuration)

ENEE 307 Laboratory#2 (n-mosfet, p-mosfet, and a single n-mosfet amplifier in the common source configuration) Revised 2/16/2007 ENEE 307 Laboratory#2 (n-mosfet, p-mosfet, and a single n-mosfet amplifier in the common source configuration) *NOTE: The text mentioned below refers to the Sedra/Smith, 5th edition.

More information

On-Chip Silicon Odometers and their Potential Use in Medical Electronics

On-Chip Silicon Odometers and their Potential Use in Medical Electronics On-Chip Silicon Odometers and their Potential Use in Medical Electronics John Keane 1 and Chris H. Kim 1. Intel Corporation, Technology and Manufacturing Group, Hillsboro, OR, USA. University of Minnesota,

More information

Experiment 3. 3 MOSFET Drain Current Modeling. 3.1 Summary. 3.2 Theory. ELEC 3908 Experiment 3 Student#:

Experiment 3. 3 MOSFET Drain Current Modeling. 3.1 Summary. 3.2 Theory. ELEC 3908 Experiment 3 Student#: Experiment 3 3 MOSFET Drain Current Modeling 3.1 Summary In this experiment I D vs. V DS and I D vs. V GS characteristics are measured for a silicon MOSFET, and are used to determine the parameters necessary

More information

A CMOS Analog Front-End Circuit for MEMS Based Temperature Sensor

A CMOS Analog Front-End Circuit for MEMS Based Temperature Sensor Technology Volume 1, Issue 2, October-December, 2013, pp. 01-06, IASTER 2013 www.iaster.com, Online: 2347-6109, Print: 2348-0017 A CMOS Analog Front-End Circuit for MEMS Based Temperature Sensor Bollam

More information

Effects of Source Field Plate and Pt- gate Metalliza8on on AlGaN/GaN HEMTs Reliability

Effects of Source Field Plate and Pt- gate Metalliza8on on AlGaN/GaN HEMTs Reliability Effects of Source Field Plate and Pt- gate Metalliza8on on AlGaN/GaN HEMTs Reliability Robert Finch, Lu Liu, Chien- Fong Lo, Tsung- Sheng Kang, David A. Cullen, Jinhyung Kim, David. J. Smith, S. J. Pearton

More information

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Sanghoon Lee 1*, V. Chobpattana 2,C.-Y. Huang 1, B. J. Thibeault 1, W. Mitchell 1, S. Stemmer

More information

Customized probe card for on wafer testing of AlGaN/GaN power transistors

Customized probe card for on wafer testing of AlGaN/GaN power transistors Customized probe card for on wafer testing of AlGaN/GaN power transistors R. Venegas 1, K. Armendariz 2, N. Ronchi 1 1 imec, 2 Celadon Systems Inc. Presented by Bryan Root 2 Outline Introduction GaN for

More information

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random 45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11 Process-induced Variability I: Random Random Variability Sources and Characterization Comparisons of Different MOSFET

More information

Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy

Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy 1 IC Failure Modes Affecting Reliability Via/metallization failure mechanisms Electro migration Stress migration Transistor

More information

Submitted to Electronics Letters, 1 May 1991 CALCULATION OF LATERAL DISTRIBUTION OF INTERFACE TRAPS ALONG AN MIS CHANNEL

Submitted to Electronics Letters, 1 May 1991 CALCULATION OF LATERAL DISTRIBUTION OF INTERFACE TRAPS ALONG AN MIS CHANNEL Submitted to Electronics Letters, 1 May 1991 CALCULATION OF LATERAL DISTRIBUTION OF INTERFACE TRAPS ALONG AN MIS CHANNEL Albert K. Henning and Judith A. Dimauro* Thayer School of Engineering Dartmouth

More information

InGaAs Nanoelectronics: from THz to CMOS

InGaAs Nanoelectronics: from THz to CMOS InGaAs Nanoelectronics: from THz to CMOS J. A. del Alamo Microsystems Technology Laboratories, MIT IEEE International Conference on Electron Devices and Solid-State Circuits Hong Kong, June 3, 2013 Acknowledgements:

More information

SILICON ODOMETERS:COMPACT IN SITU AGING SENSORS FOR ROBUST SYSTEM DESIGN

SILICON ODOMETERS:COMPACT IN SITU AGING SENSORS FOR ROBUST SYSTEM DESIGN ... SILICON ODOMETERS:COMPACT IN SITU AGING SENSORS FOR ROBUST SYSTEM DESIGN... THIS ARTICLE REVIEWS SEVERAL TEST-CHIP DESIGNS THAT DEMONSTRATE THE BENEFITS Xiaofei Wang University of Minnesota John Keane

More information

Variation Impact on SER of Combinational Circuits

Variation Impact on SER of Combinational Circuits Variation Impact on SER of Combinational Circuits K. Ramakrishnan, R. Rajaraman, S. Suresh, N. Vijaykrishnan, Y. Xie, M. J. Irwin Microsystems Design Laboratory, Pennsylvania State University, University

More information

EEC 116 Fall 2011 Lab #2: Analog Simulation Tutorial

EEC 116 Fall 2011 Lab #2: Analog Simulation Tutorial EEC 116 Fall 2011 Lab #2: Analog Simulation Tutorial Dept. of Electrical and Computer Engineering University of California, Davis Issued: September 28, 2011 Due: October 12, 2011, 4PM Reading: Rabaey Chapters

More information

III-V CMOS: Quo Vadis?

III-V CMOS: Quo Vadis? III-V CMOS: Quo Vadis? J. A. del Alamo, X. Cai, W. Lu, A. Vardi, and X. Zhao Microsystems Technology Laboratories Massachusetts Institute of Technology Compound Semiconductor Week 2018 Cambridge, MA, May

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

ECE315 / ECE515 Lecture 9 Date:

ECE315 / ECE515 Lecture 9 Date: Lecture 9 Date: 03.09.2015 Biasing in MOS Amplifier Circuits Biasing using Single Power Supply The general form of a single-supply MOSFET amplifier biasing circuit is: We typically attempt to satisfy three

More information

MOSFET short channel effects

MOSFET short channel effects MOSFET short channel effects overview Five different short channel effects can be distinguished: velocity saturation drain induced barrier lowering (DIBL) impact ionization surface scattering hot electrons

More information

An On-Chip NBTI Sensor for Measuring PMOS Threshold Voltage Degradation

An On-Chip NBTI Sensor for Measuring PMOS Threshold Voltage Degradation An On-Chip NBTI Sensor for Measuring PMOS Threshold Voltage Degradation John Keane Tae-Hyoung Kim Chris H. Kim Department of Electrical Engineering University of Minnesota, Minneapolis, MN {jkeane, thkim,

More information

FUNDAMENTALS OF MODERN VLSI DEVICES

FUNDAMENTALS OF MODERN VLSI DEVICES 19-13- FUNDAMENTALS OF MODERN VLSI DEVICES YUAN TAUR TAK H. MING CAMBRIDGE UNIVERSITY PRESS Physical Constants and Unit Conversions List of Symbols Preface page xi xiii xxi 1 INTRODUCTION I 1.1 Evolution

More information

A New Approach to Modeling the Impact of EMI on MOSFET DC Behavior

A New Approach to Modeling the Impact of EMI on MOSFET DC Behavior A New Approach to Modeling the Impact of EMI on MOSFET DC Behavior Raul Fernandez-Garcia, Ignacio Gil, Alexandre Boyer, Sonia Ben Dhia, Bertrand Vrignon To cite this version: Raul Fernandez-Garcia, Ignacio

More information

Performance Analysis of 20 nm Pentagonal and Trapezoidal NanoWire Transistor with Si and Ge Channel

Performance Analysis of 20 nm Pentagonal and Trapezoidal NanoWire Transistor with Si and Ge Channel Performance Analysis of 20 nm Pentagonal and Trapezoidal NanoWire Transistor with Si and Ge Channel SANDEEP SINGH GILL 1, JAIDEV KAUSHIK 2, NAVNEET KAUR 3 Department of Electronics and Communication Engineering

More information

PAPER Circuit Performance Degradation of Switched-Capacitor Circuit with Bootstrapped Technique due to Gate-Oxide Overstress in a 130-nm CMOS Process

PAPER Circuit Performance Degradation of Switched-Capacitor Circuit with Bootstrapped Technique due to Gate-Oxide Overstress in a 130-nm CMOS Process 378 PAPER Circuit Performance Degradation of Switched-Capacitor Circuit with Bootstrapped Technique due to Gate-Oxide Overstress in a 130-nm CMOS Process Jung-Sheng CHEN, Nonmember and Ming-Dou KER a),

More information

Design of Low-Dropout Regulator

Design of Low-Dropout Regulator 2015; 1(7): 323-330 ISSN Print: 2394-7500 ISSN Online: 2394-5869 Impact Factor: 5.2 IJAR 2015; 1(7): 323-330 www.allresearchjournal.com Received: 20-04-2015 Accepted: 26-05-2015 Nikitha V Student, Dept.

More information

Cascode Configuration Eases Challenges of Applying SiC JFETs

Cascode Configuration Eases Challenges of Applying SiC JFETs Application Note USCi_AN0004 March 2016 Cascode Configuration Eases Challenges of Applying SiC JFETs John Bendel Abstract The high switching speeds and low R DS(ON) of high-voltage SiC JFETs can significantly

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

Performance Modeling, Parameter Extraction Technique and Statistical Modeling of Nano-scale MOSFET for VLSI Circuit Simulation

Performance Modeling, Parameter Extraction Technique and Statistical Modeling of Nano-scale MOSFET for VLSI Circuit Simulation Performance Modeling, Parameter Extraction Technique and Statistical Modeling of Nano-scale MOSFET for VLSI Circuit Simulation Dr. Soumya Pandit Institute of Radio Physics and Electronics University of

More information

Heidari, H., Navaraj, W., Toldi, G., and Dahiya, R. (2016) Device Modelling of Bendable MOS Transistors. In: IEEE International Symposium on Circuits and Systems (ISCAS), Montreal, Canada, 22-25 May 2016,

More information

RF Power Degradation of GaN High Electron Mobility Transistors

RF Power Degradation of GaN High Electron Mobility Transistors RF Power Degradation of GaN High Electron Mobility Transistors The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

TECHNOLOGY road map and strategic planning of future

TECHNOLOGY road map and strategic planning of future IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 44, NO. 11, NOVEMBER 1997 1951 Predicting CMOS Speed with Gate Oxide and Voltage Scaling and Interconnect Loading Effects Kai Chen, Member, IEEE, Chenming Hu,

More information

Dependence of Carbon Nanotube Field Effect Transistors Performance on Doping Level of Channel at Different Diameters: on/off current ratio

Dependence of Carbon Nanotube Field Effect Transistors Performance on Doping Level of Channel at Different Diameters: on/off current ratio Copyright (2012) American Institute of Physics. This article may be downloaded for personal use only. Any other use requires prior permission of the author and the American Institute of Physics. The following

More information

Simulation of digital and analog/mixed signal circuits employing Tunnel-FETs

Simulation of digital and analog/mixed signal circuits employing Tunnel-FETs Simulation of digital and analog/mixed signal circuits employing Tunnel-FETs P.Palestri, S.Strangio, F.Settino, F.Crupi*, D.Esseni, M.Lanuzza*, L.Selmi IUNET-University of Udine, * IUNET-University of

More information

Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies

Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies J. A. del Alamo Microsystems Technology Laboratories, MIT ESSDERC-ESSCIRC 2013 Bucharest, Romania, September 16-20, 2013 Acknowledgements:

More information

Contents. Contents... v. Preface... xiii. Chapter 1 Introduction...1. Chapter 2 Significant Physical Effects In Modern MOSFETs...

Contents. Contents... v. Preface... xiii. Chapter 1 Introduction...1. Chapter 2 Significant Physical Effects In Modern MOSFETs... Contents Contents... v Preface... xiii Chapter 1 Introduction...1 1.1 Compact MOSFET Modeling for Circuit Simulation...1 1.2 The Trends of Compact MOSFET Modeling...5 1.2.1 Modeling new physical effects...5

More information

A 20GHz Class-C VCO Using Noise Sensitivity Mitigation Technique

A 20GHz Class-C VCO Using Noise Sensitivity Mitigation Technique Matsuzawa Lab. Matsuzawa & Okada Lab. Tokyo Institute of Technology A 20GHz Class-C VCO Using Noise Sensitivity Mitigation Technique Kento Kimura, Kenichi Okada and Akira Matsuzawa (WE2C-2) Matsuzawa &

More information

EE70 - Intro. Electronics

EE70 - Intro. Electronics EE70 - Intro. Electronics Course website: ~/classes/ee70/fall05 Today s class agenda (November 28, 2005) review Serial/parallel resonant circuits Diode Field Effect Transistor (FET) f 0 = Qs = Qs = 1 2π

More information

ECEN 474/704 Lab 1: Introduction to Cadence & MOS Device Characterization

ECEN 474/704 Lab 1: Introduction to Cadence & MOS Device Characterization ECEN 474/704 Lab 1: Introduction to Cadence & MOS Device Characterization Objectives Learn how to login on a Linux workstation, perform basic Linux tasks, and use the Cadence design system to simulate

More information

High Performance and Low Leakage 3DSOI Fin-FET SRAM

High Performance and Low Leakage 3DSOI Fin-FET SRAM American Journal of Engineering and Applied Sciences Original Research Paper High Performance and Low Leakage 3DSOI Fin-FET SRAM 1 Sudha, D., 2 Ch. Santhiraniand 3 Sreenivasa Rao Ijjada 1 Departmet of

More information

Appendix: Power Loss Calculation

Appendix: Power Loss Calculation Appendix: Power Loss Calculation Current flow paths in a synchronous buck converter during on and off phases are illustrated in Fig. 1. It has to be noticed that following parameters are interrelated:

More information

Improving Design Reliability By Avoiding EOS. Matthew Hogan, Mentor Graphics

Improving Design Reliability By Avoiding EOS. Matthew Hogan, Mentor Graphics Improving Design Reliability By Avoiding EOS. Matthew Hogan, Mentor Graphics BACKGROUND With the advent of more complex design requirements and greater variability in operating environments, electrical

More information

MOSFET Terminals. The voltage applied to the GATE terminal determines whether current can flow between the SOURCE & DRAIN terminals.

MOSFET Terminals. The voltage applied to the GATE terminal determines whether current can flow between the SOURCE & DRAIN terminals. MOSFET Terminals The voltage applied to the GATE terminal determines whether current can flow between the SOURCE & DRAIN terminals. For an n-channel MOSFET, the SOURCE is biased at a lower potential (often

More information

NBTI Degradation: A Problem or a Scare?

NBTI Degradation: A Problem or a Scare? 21st International Conference on VLSI Design NBTI Degradation: A Problem or a Scare? Kewal K. Saluja, Shriram Vijayakumar, Warin Sootkaneung, and Xaingning Yang Department of Electrical and Computer Engineering

More information

Microwave Technology

Microwave Technology GUC (Dr. Hany Hammad) 9/5/06 Microwave Technology (COMM 903) Contents Introduction: Course contents. Assessment. eferences. Microwave Sources. Transistor Model Extraction. Signal flow graphs. COMM (903)

More information

Students: Yifan Jiang (Research Assistant) Siyang Liu (Visiting Scholar)

Students: Yifan Jiang (Research Assistant) Siyang Liu (Visiting Scholar) Y9.FS1.1: SiC Power Devices for SST Applications Project Leader: Faculty: Dr. Jayant Baliga Dr. Alex Huang Students: Yifan Jiang (Research Assistant) Siyang Liu (Visiting Scholar) 1. Project Goals (a)

More information

Modeling the Influence of Dielectric Interface Traps on I-V Characteristics of TFETs

Modeling the Influence of Dielectric Interface Traps on I-V Characteristics of TFETs Modeling the Influence of Dielectric Interface Traps on I-V Characteristics of TFETs Jie Min 1, Peter Asbeck UCSD 1 Present address: Global Foundries, Santa Clara, CA Schematic TFET Structures Based on

More information

Homework 2 Solutions. Perform.op analysis, the small-signal parameters of M1 and M2 are shown below.

Homework 2 Solutions. Perform.op analysis, the small-signal parameters of M1 and M2 are shown below. Problem 1 Homework 2 Solutions 1) Circuit schematic Perform.op analysis, the small-signal parameters of M1 and M2 are shown below. Small-signal parameters of M1 gds = 9.723u gm = 234.5u region = 2 vds

More information