Designing Analog and RF Circuits in Nanoscale CMOS Technologies: Scale the Supply, Reduce the Area and Use Digital Gates.

Size: px
Start display at page:

Download "Designing Analog and RF Circuits in Nanoscale CMOS Technologies: Scale the Supply, Reduce the Area and Use Digital Gates."

Transcription

1 Designing Analog and RF Circuits in Nanoscale CMOS Technologies: Scale the Supply, Reduce the Area and Use Digital Gates. Peter Kinget Université Catholique de Louvain (Belgium) On sabbatical from: Columbia University (New York)

2 4 Decades of Exponential Growth Moore s Law G. Moore, No Exponential is forever, but we can delay forever, ISSCC 2003 IC Technology Progress! Device Scaling IC Design Progress! More Integration Analog, RF, digital on a Chip = System on Chip Next, highly scaled technologies

3 Lowest Energy Digital V DD Energy per instr. (a.u.) V V DD [Hanson 2006] 8-bit microprocessor in 0.13um CMOS

4 V DD Scaling for Nanoscale CMOS V]! ITRS 09 Technology node [nm] Year

5 Reduce Area: Motivation Number of DFFs within an area of 200um x 200um

6 Design Techniques to Keep Analog & RF Compatible with Nanoscale CMOS Scale the Supply Voltage of Analog/RF Circuits Reduce the Area of RF circuits Use Digital Gates to Improve Analog/RF Performance

7 System on a Chip Scale!! Physical World is Analog Sound Image Digital: 80-95% Radio Do NOT Scale!! Energy Analog: 5-20%

8 Systems Columbia s V Analog & RF Roadmap Analog V DD scaling, Sure we can 2.4GHz RCV+Synth Functions 90nm 180nm 250nm CT 74dB 25kHz!" A/D 2.4GHz LO Synth. 2.4GHz RCV Blocks Body-input OTA 135kHz LPF + Tuning Gate-input OTA 10b 1Ms THA 900MHz RF Front-end 8b 10Ms A/D 0.6V V DD V-Reference

9 MOST Biasing: CS or VCCS r o,n //r o,p 90nm W=2u V GS,p r o,p V out r o,n Swing V V GS,n V Transconductor or Current Source out [V] V DS > 0.1V to 0.15 V (for V GS -V TH! 0.2 V) Moderate to Strong Inversion (V GS -V TH ) " 0.15 V & V TH = 0.35V! V GS = 0.5V V TH = 0.15V! V GS = 0.3V

10 Ultra-LV Challenges in OTAs " 0.5V V casp " V casp V in+ V " in- V casn V casn V out+ 0.25V " V out- 0.25V simple anim. " CMFB

11 Ultra-low Voltage Analog & RF Design Exploit full device characteristics RSCE, Body-bias Rethink your circuit topologies Eliminate stacks, LCMFB, CMFF, Neg. G Address leakage (cascaded switches), MOS or Schottky based references Revise your architectures Eliminate switches in signal path (e.g., RTO), RF front end: Current mode operation/interfaces RF Baseband: Optimize structure True low voltage design: no voltage boosting, no special devices

12 RSCE V T as L Device Level Solutions V TH 400mV 270mV 150mV 180nm 130nm 90nm Forward Body-Bias 4 L/L min deep n-well NMOS V TH V BS =0.5V #V T ~ -50mV V DD V BS

13 0.5V Gate-Input OTA Stage V out- 0.5 V V out+ Local Common- Mode Feedback Common-Mode Feed Forward Cancellation Neg. G Gain Boost V bn V NR V in+ V in-

14 0.5V Two-Stage OTA V T,nom =0.5V; CM in 0.4V, 0.18um CMOS 62dB DC gain; 10MHz GBW; 10pF C L,diff ; 75uW

15 On-Chip Biasing Circuits Error amp. bias Gain bias OTA replica OTA (one stage) Level shift bias DC CM bias

16 0.5V Fully Integrated 5th Order LPF 1mm 135 khz Filter PLL 1mm Gain [db] OTAs Biasing circuits Operation at 0.45 V to 0.6 V 1.1 mw power dissipation 57 db dynamic range Frequency [Hz] [Chatterjee, Tsividis, Kinget, ISSCC05, JSSC05]

17 Floating Switch Challenge 0.5V Conductance NMOS 2u/80n PMOS 4u/80n V in NMOS 4.4u/180n 10k$ PMOS 9u/180n 0 V in nm: V TH = 0.3V 180nm: V TH = 0.55V

18 3 rd order CT ΣΔ Modulator Using Active RC integrators % DAC + V i n - Q Q D i g i t a l O u t p u t % DAC

19 RZ Challenge: Switches at V DD / V V C M 0 V D0! R Z D V R Z D A C _ n Vdac 0.5V v 2 V cm,ota V 1 R d a c C 0V v 1 Ts t Switch Conductance 0.25 V + V i n R i 0.25 V V 2 R d a c C D1! R Z 0. 5 V V C M 0 V D0 R Z D A C _ p 0.25 V

20 Solution: Return-to-Open When RZ: (Q=1) Problem switches removed 0.25 V 0. 5 V 0 V D0 + V i n v 1 D1 R d a c R i R T O D A C _ n C Vdac 0.5V 0V v 2 v 1 Ts V cm,ota t # Lower Noise R d a c v 2 D1 D V 0 V C R T O D A C _ p D1 D0 Q RZ Ts Ts Ts t t t

21 0.5V 74 db SNDR 25kHz ΣΔ Modulator 1mm Operation for V DD = 0.45V to 0.8V Return-to-open architecture, body-input gate-clocked circuits 74dB SNDR, 25kHz, 64x OSR, 300μW, 0.18um CMOS [Pun, Chatterjee, Kinget, ISSCC 06, JSSC 07]

22 V in 12u 0.36u 12u W/L=12/0.36 V TH =0.15V Low V TH switch leakage: Cascaded Sampling (Sim.) 0.36u!1!1 S1 1pF C1 Vout V in 12u 0.36u 12u 0.36u!1!1d d S2 S1! 1 V 1 250fF C2!1 12u 0.36u 12u 0.36u 1pF C1 V out 0.01mV/ns 0.12mV/ns 27

23 0.5V 8bit 10Msps Pipelined ADC B d kHz 10MHz 20 SFDR 10 SNR SNDR Sample Rate (MHz) 8 10 No internal voltage or clock boosting; regular devices; cascaded sampling technique. Aux. S/H for the sub-adc to eliminate front-end SHA. 10Msps: SNDR 48dB@101kHz, 43.3dB@4.9MHz. 2.4mW in 90nm CMOS [Shen, Kinget, VLSI07, JSSC08].

24 [90nm CMOS] ULV Schottky Reference V DD = V Vdd OTA REFERENCE STARTUP Rb 10k W=10u W=10u Ro L=0.2u L=0.2u P4 P5 P6 Rc Cc W=50u L=0.08u W=40u L=0.3u P1 W=40u L=0.3u P2 W=40u L=0.3u P3 W=2u L=0.3u Ps1 W=4.8u L=0.6u M3 M1 W=100u W=100u L=0.08u L=0.08u M4 W=7u L=0.6u M2 M5 W=2.4u L=0.6u R2a R1 1k 2.13k 1 N R2b 2.13k R3 Vref 1.17k Ms1 W=20u L=0.3u Ms2 W=20u L=0.08u V REF =250mV

25 0.6V 2.4GHz ZIF/LIF RCV + Synth. 2.9 mm 2-90nm RVT CMOS - 64-pin QFN package GHz 0.6V & 32mW 16dB NF, -10.5dBm IIP 3, 67dB Gain PN 3MHz offset Fully functional 0.55V to 0.65V V DD

26 Design Techniques to Keep Analog & RF Compatible with Nanoscale CMOS Scale the Supply Voltage of Analog/RF Circuits Reduce the Area of RF circuits Use Digital Gates to Improve Analog/RF Performance

27 Use f T for VCO Area Scaling Scaled CMOS $ higher f T $ N x higher frequency $ divide by N D A D/2 A/4 D/4 A/16 D/8 A/64 L, Rs, Q@f 0 L/2, Rs, Q@2f 0 L/4, Rs, Q@4f 0 L/8, Rs, Q@8f 0

28 VCO Area Scaling FoMA = FoM -10log(A/1mm 2 ) +18dB Area/64 [Yu, Kinget, TCASII 09] [Sim. in 45nm]

29 PLL and Area Scaling Scale to 4 x f 0 Circuits that scale easily with feature size pf needs ~13000um 2 of inversion-mode MOS capacitor

30 Stacked MOS Cap-Inductor (EM Simulation) $ no Q degradation, even improvement (shielding)

31 0.042mm2 Fully Int. PLL Loop Filter Capacitor C2 Area Saving 30% [Yu, Kinget, Esscirc 08] Fully Integrated PLL, on-chip loop filter under VCO inductor 45nm LP CMOS; VDD=0.85V; 10GHz VCO; 2.5GHz o/p

32 All-Digital PLLs LC-DCO. DCO ADPLL DCO ADPLL [Weltin-Wu, 2008] [Staszewski, 2004]

33 Area & Performance Comparison!"#$%&!'()**++,-(./01(234556(5+(7+8( Silicon Area [mm 2 ] <LCC"TB%P()**++,-6()%;'=QLC&BALM"&)+( UFD&GFU/01(5+(7+8(L%#J( &99U;<=>01?.@01( R8@S9-E(;<=>01( IJ#JBKLM()**++,D(,FE&-/01(234556(IN%O(8P=6( &99:;<=>01?9,@01(( 3B#Q()**++,G( 9,/01(3+8(L%#J6(5+(7+8( &9,:;<=>01?9@01( R8@S9DDF:(;<=>01( /"ABCB()**++,D( EFG&9-/01(24556(5+7+8( &HE;<=>01?9@01 VWNP(XLCK( :FE/01(24556(5+(7+8( &9:9;<=>01?9@01( R8@S9-:;<=>01( 10x Technology Node [nm]

34 Design Techniques to Keep Analog & RF Compatible with Nanoscale CMOS Scale the Supply Voltage of Analog/RF Circuits Reduce the Area of RF circuits Use Digital Gates to Improve Analog/RF Performance

35 Use Digital Gates In RF How? For RF, improvement of linearity using digital gates has many opportunities The signals generating the errors are out-of-band interferers, which do not reach the baseband In contrast to mixed signal digital assistance we need to address problem in the front-end for RF!! Use digital assistance to self-calibrate RF front-end

36 Digital Self-Calibration of RCV IIP2 Block Diagram Measured Results Receiver >40dB IIP2 improvement! [ISSCC 2010] Performance very stable w.r.t. any changes (VDD, freq., ) Simpler, lower power RF circuits can be used taking advantage of calibration engine Low Power, no extra power consumption for RF operation!

37 ULV RCV with FF In-band Interf. Cancel. Front-end Interferer Cancellation significantly reduces baseband linearity requirements resulting in a significant performance improvement >20dB of attenuation for out of channel blockers Digital calibration of LO phase ( ) and alt. path gain is key enabler to automatically tune the position of the cancellation notch Measured

38 0.6V GSM Receiver 55dB Gain, 6dB NF, -15.5dBm IIP 3, [VLSI, 2010] 0.6V (LNA, Mixers, Cancellers, Baseband, LO Buffers) 65nm CMOS

39 Review & Outlook

40 Fundamentals: Power Limits Noise limited circuits [Vittoz90]: SNR = V RMS v 2 n,rms = kt v 2 C I DC = 2f C 2V RMS n,rms Acc = V RMS 3"(V os ) P " 8 kt f SNR2 " 2 (V os ) = C ox A 2 VT C V DD = 2 2V RMS Mismatch limited circuits [Kinget96]: P " 24 C ox A 2 VT f Acc 2 I DC = 2f C ideal class B 2V RMS

41 Low Voltage Power Penalty Finite V DSsat : V DD = 2 2V RMS + 2V DSsat V DSsat

42 ADC FOM vs V DD FOM = 2 "BW "2 P SNDR# pj/conv. V DD Most data taken from B. Murmann, A/D Converter ISSCC Performance Data

43 Receiver FOM vs V DD FOM 2 = IIP 3 NF " P

44 End of Moore s Law? (as we know it) [ITRS 2007]

45 ITRS After Moore? Half-Pitch; Gate-Length [nm] 10?

46 Conclusions Scaling is driving semiconductor technology and will continue for another decade, but with significant design challenges. Future Analog/RF in nanoscale CMOS Reduce supply voltage Reduce area Exploit digital gates Design is becoming a prime differentiator Plenty of open opportunities!!

47 Acknowledgments Collaborators: Y. Tsividis, K.P. Pun (Chinese Univ. Hong Kong), S. Chatterjee (now IIT Delhi), F. Zhang (now TI), B. Hung and T.L. Li (UMC), A. Balankutty (now Intel), Y. Feng (now MHI Consulting), J. Shen (now ADI), N. Stanic (now SiLabs), C. Vezyrtzis, and S. Yu (now MaxLinear). Analog Devices, Bell Labs, Broadcom, Intel, Marvell, Silicon Labs and Toshiba for financial support. Europractice, Philips (now NXP), Toshiba, ST Microelectronics and UMC for fabrication support. Integrand Software for EMX software.

48 Complexity 90nm 180nm 250nm Analog V DD scaling, Sure we can Thank you CT 74dB 25kHz!" A/D 2.4GHz LO Synth. 2.4GHz RCV for your attention! 2.4GHz RCV+Synth 135kHz LPF + Tuning 8b 10Ms A/D Body-input OTA Gate-input OTA 10b 1Ms THA 900MHz RF Front-end 0.6V V DD V-Reference

49 ULV Analog & RF Selection of References P. Kinget, "Designing Analog and RF Circuits for Ultra-low Supply Voltages,", plenary talk, IEEE European Solid-State Circuits Conference, pp , September S. Chatterjee, Y. Tsividis and P. Kinget, "0.5 V Analog Circuit Techniques and Their Application in OTA and Filter Design," invited, IEEE Journal of Solid-State Circuits, vol. 40, no 12, December 2005, pp K.P. Pun, S. Chatterjee, and P. Kinget, "A 0.5-V 74-dB SNDR 25-kHz Continuous-Time Delta-Sigma Modulator with a Return-to-Open DAC," IEEE Journal of Solid-State Circuits, Vol. 42, no 3, pp , March J. Shen and P. Kinget, "A 0.5-V 8-bit 10-Ms/s Pipelined ADC in 90-nm CMOS," invited, IEEE Journal of Solid-State Circuits, Special issue on the 2007 Symposium on VLSI circuits, vol. 43, no. 4, pp , Apr N. Stanic, A. Balankutty, P. Kinget and Y. Tsividis, "A 2.4-GHz ISM-Band Sliding-IF Receiver with a 0.5 V Supply," invited, IEEE Journal of Solid-State Circuits, Special issue on the 2007 Radio Frequency Integrated Circuits Conference, vol. 43, no. 5, pp , May A. Balankutty, S.-A. Yu, Y. Feng, and P. Kinget, " A 0.6V 32.5mW Highly Integrated Receiver for 2.4GHz ISM-Band Applications,", IEEE International Solid-State Circuits Conference (ISSCC), pp , 620 February P. Kinget, C. Vezyrtzis, E. Chiang, B. Hung and T.L. Li, "Voltage References for Ultra-low Supply Voltages," invited, IEEE Custom Integrated Circuits Conference, pp , Sept S.A. Yu and P. Kinget, "A 0.65-V 2.5-GHz Fractional-N Synthesizer with 2-Mbps GFSK Modulation", IEEE Journal of Solid- State Circuits, Reducing Area See F. Zhang and P. Kinget, "Design of Components and Circuits Underneath Integrated Inductors," IEEE Journal of Solid- State Circuits, Oct. 2006, pp S.A. Yu and P. Kinget, "A mm2 Fully Integrated Analog PLL with Stacked Capacitor-Inductor in 45nm CMOS," European Solid-State Circuits Conference, pp , Sept S.A. Yu and P. Kinget, "Scaling LC Oscillators in Nanometer CMOS Technologies to Smaller Area but with Constant Performance," IEEE Transactions on Circuits and Systems II, May Digitally-assisted RF Y. Feng, G. Takemura, S. Kawaguchi, N. Itoh and P. Kinget, "A Low-power Low-noise Direct-Conversion Front End with Digitally Assisted IIP2 Background Self Calibration," IEEE International Solid-State Circuits Conference, accepted, A. Balankutty and Peter Kinget, 0.6V, 5dB NF, -9.8dBm IIP3, 900MHz Receiver with Interference Cancellation, submitted to the IEEE Symposium on VLSI circuits, 2010.

Designing Analog and RF Circuits for Ultra-Low Supply Voltages. Peter Kinget

Designing Analog and RF Circuits for Ultra-Low Supply Voltages. Peter Kinget Designing Analog and RF Circuits for Ultra-Low Supply Voltages Peter Kinget Motivation Past 4 decades in electronics: IC Technology Progress! Device Scaling IC Design Progress! More Integration Sub 100nm

More information

Low-power Sigma-Delta AD Converters

Low-power Sigma-Delta AD Converters Low-power Sigma-Delta AD Converters Willy Sansen KULeuven, ESAT-MICAS Leuven, Belgium willy.sansen@esat.kuleuven.be Willy Sansen 10-05 211 Table of contents Delta-sigma modulation The switch problem The

More information

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong Research and Development Activities in RF and Analog IC Design Howard Luong Analog Research Laboratory Department of Electrical and Electronic Engineering Hong Kong University of Science and Technology

More information

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier Hyunui Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline Background Body voltage controlled

More information

20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS. Masum Hossain & Tony Chan Carusone University of Toronto

20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS. Masum Hossain & Tony Chan Carusone University of Toronto 20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS Masum Hossain & Tony Chan Carusone University of Toronto masum@eecg.utoronto.ca Motivation Data Rx3 Rx2 D-FF D-FF Rx1 D-FF Clock Clock

More information

2011/12 Cellular IC design RF, Analog, Mixed-Mode

2011/12 Cellular IC design RF, Analog, Mixed-Mode 2011/12 Cellular IC design RF, Analog, Mixed-Mode Mohammed Abdulaziz, Mattias Andersson, Jonas Lindstrand, Xiaodong Liu, Anders Nejdel Ping Lu, Luca Fanori Martin Anderson, Lars Sundström, Pietro Andreani

More information

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique James Lin, Masaya Miyahara and Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Laḃ

More information

A 1MHz-64MHz Active RC TI-LPF with Variable Gain for SDR Receiver in 65-nm CMOS

A 1MHz-64MHz Active RC TI-LPF with Variable Gain for SDR Receiver in 65-nm CMOS 2017 5th International Conference on Computer, Automation and Power Electronics (CAPE 2017) A 1MHz-64MHz Active RC TI-LPF with Variable Gain for SDR Receiver in 65-nm CMOS Chaoxuan Zhang1, a, *, Xunping

More information

An Ultra Low-Voltage and Low-Power OTA Using Bulk-Input Technique and Its Application in Active-RC Filters

An Ultra Low-Voltage and Low-Power OTA Using Bulk-Input Technique and Its Application in Active-RC Filters Circuits and Systems, 2011, 2, 183-189 doi:10.4236/cs.2011.23026 Published Online July 2011 (http://www.scirp.org/journal/cs) An Ultra Low-Voltage and Low-Power OTA Using Bulk-Input Technique and Its Application

More information

ISSCC 2006 / SESSION 33 / MOBILE TV / 33.4

ISSCC 2006 / SESSION 33 / MOBILE TV / 33.4 33.4 A Dual-Channel Direct-Conversion CMOS Receiver for Mobile Multimedia Broadcasting Vincenzo Peluso, Yang Xu, Peter Gazzerro, Yiwu Tang, Li Liu, Zhenbiao Li, Wei Xiong, Charles Persico Qualcomm, San

More information

Proposing. An Interpolated Pipeline ADC

Proposing. An Interpolated Pipeline ADC Proposing An Interpolated Pipeline ADC Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Lab. Background 38GHz long range mm-wave system Role of long range mm-wave Current Optical

More information

CMOS Analog to Digital Converters : State-of-the-Art and Perspectives in Digital Communications ADC

CMOS Analog to Digital Converters : State-of-the-Art and Perspectives in Digital Communications ADC CMOS Analog to Digital Converters : State-of-the-Art and Perspectives in Digital Communications ADC Hussein Fakhoury and Hervé Petit C²S Research Group Presentation Outline Introduction Basic concepts

More information

EECS 290C: Advanced circuit design for wireless Class Final Project Due: Thu May/02/2019

EECS 290C: Advanced circuit design for wireless Class Final Project Due: Thu May/02/2019 EECS 290C: Advanced circuit design for wireless Class Final Project Due: Thu May/02/2019 Project: A fully integrated 2.4-2.5GHz Bluetooth receiver. The receiver has LNA, RF mixer, baseband complex filter,

More information

Integrated Microsystems Laboratory. Franco Maloberti

Integrated Microsystems Laboratory. Franco Maloberti University of Pavia Integrated Microsystems Laboratory Power Efficient Data Convertes Franco Maloberti franco.maloberti@unipv.it OUTLINE Introduction Managing the noise power budget Challenges of State-of-the-art

More information

Challenges in Designing CMOS Wireless System-on-a-chip

Challenges in Designing CMOS Wireless System-on-a-chip Challenges in Designing CMOS Wireless System-on-a-chip David Su Atheros Communications Santa Clara, California IEEE Fort Collins, March 2008 Introduction Outline Analog/RF: CMOS Transceiver Building Blocks

More information

A 20GHz Class-C VCO Using Noise Sensitivity Mitigation Technique

A 20GHz Class-C VCO Using Noise Sensitivity Mitigation Technique Matsuzawa Lab. Matsuzawa & Okada Lab. Tokyo Institute of Technology A 20GHz Class-C VCO Using Noise Sensitivity Mitigation Technique Kento Kimura, Kenichi Okada and Akira Matsuzawa (WE2C-2) Matsuzawa &

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 20.5 A 2.4GHz CMOS Transceiver and Baseband Processor Chipset for 802.11b Wireless LAN Application George Chien, Weishi Feng, Yungping

More information

Analog and RF circuit techniques in nanometer CMOS

Analog and RF circuit techniques in nanometer CMOS Analog and RF circuit techniques in nanometer CMOS Bram Nauta University of Twente The Netherlands http://icd.ewi.utwente.nl b.nauta@utwente.nl UNIVERSITY OF TWENTE. Outline Introduction Balun-LNA-Mixer

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2 20.2 A Digitally Calibrated 5.15-5.825GHz Transceiver for 802.11a Wireless LANs in 0.18µm CMOS I. Bouras 1, S. Bouras 1, T. Georgantas

More information

A 1.9GHz Single-Chip CMOS PHS Cellphone

A 1.9GHz Single-Chip CMOS PHS Cellphone A 1.9GHz Single-Chip CMOS PHS Cellphone IEEE JSSC, Vol. 41, No.12, December 2006 William Si, Srenik Mehta, Hirad Samavati, Manolis Terrovitis, Michael Mack, Keith Onodera, Steve Jen, Susan Luschas, Justin

More information

65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers

65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers 65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers Michael Gordon, Terry Yao, Sorin P. Voinigescu University of Toronto March 10 2006, UBC, Vancouver Outline Motivation mm-wave

More information

A 400 MHz 4.5 nw 63.8 dbm Sensitivity Wake-up Receiver Employing an Active Pseudo-Balun Envelope Detector

A 400 MHz 4.5 nw 63.8 dbm Sensitivity Wake-up Receiver Employing an Active Pseudo-Balun Envelope Detector A 400 MHz 4.5 nw 63.8 dbm Sensitivity Wake-up Receiver Employing an Active Pseudo-Balun Envelope Detector Po-Han Peter Wang, Haowei Jiang, Li Gao, Pinar Sen, Young-Han Kim, Gabriel M. Rebeiz, Patrick P.

More information

Frequency Synthesizers for RF Transceivers. Domine Leenaerts Philips Research Labs.

Frequency Synthesizers for RF Transceivers. Domine Leenaerts Philips Research Labs. Frequency Synthesizers for RF Transceivers Domine Leenaerts Philips Research Labs. Purpose Overview of synthesizer architectures for RF transceivers Discuss the most challenging RF building blocks Technology

More information

2008/09 Advances in the mixed signal IC design group

2008/09 Advances in the mixed signal IC design group 2008/09 Advances in the mixed signal IC design group Mattias Andersson Mixed-Signal IC Design Department for Electrical and Information Technology Lund University 1 Mixed Signal IC Design Researchers Associate

More information

A 1.7-to-2.2GHz Full-Duplex Transceiver System with >50dB Self-Interference Cancellation over 42MHz Bandwidth

A 1.7-to-2.2GHz Full-Duplex Transceiver System with >50dB Self-Interference Cancellation over 42MHz Bandwidth A 1.7-to-2.2GHz Full-Duplex Transceiver System with >50dB Self-Interference Cancellation Tong Zhang, Ali Najafi, Chenxin Su, Jacques C. Rudell University of Washington, Seattle Feb. 8, 2017 International

More information

Architectures and Design Methodologies for Very Low Power and Power Effective A/D Sigma-Delta Converters

Architectures and Design Methodologies for Very Low Power and Power Effective A/D Sigma-Delta Converters 0 Architectures and Design Methodologies for Very Low Power and Power Effective A/D Sigma-Delta Converters F. Maloberti University of Pavia - Italy franco.maloberti@unipv.it 1 Introduction Summary Sigma-Delta

More information

Wideband Sampling by Decimation in Frequency

Wideband Sampling by Decimation in Frequency Wideband Sampling by Decimation in Frequency Martin Snelgrove http://www.kapik.com 192 Spadina Ave. Suite 218 Toronto, Ontario, M5T2C2 Canada Copyright Kapik Integration 2011 WSG: New Architectures for

More information

A 5GHz, 32mW CMOS Frequency Synthesizer with an Injection Locked Frequency Divider. Hamid Rategh, Hirad Samavati, Thomas Lee

A 5GHz, 32mW CMOS Frequency Synthesizer with an Injection Locked Frequency Divider. Hamid Rategh, Hirad Samavati, Thomas Lee A 5GHz, 32mW CMOS Frequency Synthesizer with an Injection Locked Frequency Divider Hamid Rategh, Hirad Samavati, Thomas Lee OUTLINE motivation introduction synthesizer architecture synthesizer building

More information

Design of High-Speed Op-Amps for Signal Processing

Design of High-Speed Op-Amps for Signal Processing Design of High-Speed Op-Amps for Signal Processing R. Jacob (Jake) Baker, PhD, PE Professor and Chair Boise State University 1910 University Dr. Boise, ID 83725-2075 jbaker@ieee.org Abstract - As CMOS

More information

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY Neha Bakawale Departmentof Electronics & Instrumentation Engineering, Shri G. S. Institute of

More information

Insights Into Circuits for Frequency Synthesis at mm-waves Andrea Mazzanti Università di Pavia, Italy

Insights Into Circuits for Frequency Synthesis at mm-waves Andrea Mazzanti Università di Pavia, Italy RFIC2014, Tampa Bay June 1-3, 2014 Insights Into Circuits for Frequency Synthesis at mm-waves Andrea Mazzanti Università di Pavia, Italy High data rate wireless networks MAN / LAN PAN ~7GHz of unlicensed

More information

Low-Noise Amplifiers

Low-Noise Amplifiers 007/Oct 4, 31 1 General Considerations Noise Figure Low-Noise Amplifiers Table 6.1 Typical LNA characteristics in heterodyne systems. NF IIP 3 db 10 dbm Gain 15 db Input and Output Impedance 50 Ω Input

More information

Design of Pipeline Analog to Digital Converter

Design of Pipeline Analog to Digital Converter Design of Pipeline Analog to Digital Converter Vivek Tripathi, Chandrajit Debnath, Rakesh Malik STMicroelectronics The pipeline analog-to-digital converter (ADC) architecture is the most popular topology

More information

An All CMOS, 2.4 GHz, Fully Adaptive, Scalable, Frequency Hopped Transceiver

An All CMOS, 2.4 GHz, Fully Adaptive, Scalable, Frequency Hopped Transceiver An All CMOS, 2.4 GHz, Fully Adaptive, Scalable, Frequency Hopped Transceiver Farbod Behbahani John Leete Alexandre Kral Shahrzad Tadjpour Karapet Khanoyan Paul J. Chang Hooman Darabi Maryam Rofougaran

More information

A Sub-0.75 RMS-Phase-Error Differentially-Tuned Fractional-N Synthesizer with On-Chip LDO Regulator and Analog-Enhanced AFC Technique

A Sub-0.75 RMS-Phase-Error Differentially-Tuned Fractional-N Synthesizer with On-Chip LDO Regulator and Analog-Enhanced AFC Technique A Sub-0.75 RMS-Phase-Error Differentially-Tuned Fractional-N Synthesizer with On-Chip LDO Regulator and Analog-Enhanced AFC Technique Lei Lu, Lingbu Meng, Liang Zou, Hao Min and Zhangwen Tang Fudan University,

More information

A CMOS Frequency Synthesizer with an Injection-Locked Frequency Divider for a 5 GHz Wireless LAN Receiver. Hamid Rategh

A CMOS Frequency Synthesizer with an Injection-Locked Frequency Divider for a 5 GHz Wireless LAN Receiver. Hamid Rategh A CMOS Frequency Synthesizer with an Injection-Locked Frequency Divider for a 5 GHz Wireless LAN Receiver Hamid Rategh Center for Integrated Systems Stanford University OUTLINE Motivation Introduction

More information

Low voltage, low power, bulk-driven amplifier

Low voltage, low power, bulk-driven amplifier University of Arkansas, Fayetteville ScholarWorks@UARK Electrical Engineering Undergraduate Honors Theses Electrical Engineering 5-2009 Low voltage, low power, bulk-driven amplifier Shama Huda University

More information

Differential Amplifiers

Differential Amplifiers Differential Amplifiers Benefits of Differential Signal Processing The Benefits Become Apparent when Trying to get the Most Speed and/or Resolution out of a Design Avoid Grounding/Return Noise Problems

More information

Publication [P3] By choosing to view this document, you agree to all provisions of the copyright laws protecting it.

Publication [P3] By choosing to view this document, you agree to all provisions of the copyright laws protecting it. Publication [P3] Copyright c 2006 IEEE. Reprinted, with permission, from Proceedings of IEEE International Solid-State Circuits Conference, Digest of Technical Papers, 5-9 Feb. 2006, pp. 488 489. This

More information

A 0.55 V 7-bit 160 MS/s Interpolated Pipeline ADC Using Dynamic Amplifiers

A 0.55 V 7-bit 160 MS/s Interpolated Pipeline ADC Using Dynamic Amplifiers A 0.55 V 7-bit 160 MS/s Interpolated Pipeline ADC Using Dynamic Amplifiers James Lin, Daehwa Paik, Seungjong Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada

More information

IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 02, 2016 ISSN (online):

IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 02, 2016 ISSN (online): IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 02, 2016 ISSN (online): 2321-0613 Design & Analysis of CMOS Telescopic Operational Transconductance Amplifier (OTA) with

More information

Pipeline vs. Sigma Delta ADC for Communications Applications

Pipeline vs. Sigma Delta ADC for Communications Applications Pipeline vs. Sigma Delta ADC for Communications Applications Noel O Riordan, Mixed-Signal IP Group, S3 Semiconductors noel.oriordan@s3group.com Introduction The Analog-to-Digital Converter (ADC) is a key

More information

I must be selected in the presence of strong

I must be selected in the presence of strong Semiconductor Technology Analyzing sigma-delta ADCs in deep-submicron CMOS technologies Sigma-delta ( ) analog-to-digital-converters are critical components in wireless transceivers. This study shows that

More information

CMOS Dual Band Receiver GSM 900-Mhz / DSS-GSM1800-GHz

CMOS Dual Band Receiver GSM 900-Mhz / DSS-GSM1800-GHz CMOS Dual Band Receiver GSM 900-Mhz / DSS-GSM1800-GHz By : Dhruvang Darji 46610334 Transistor integrated Circuit A Dual-Band Receiver implemented with a weaver architecture with two frequency stages operating

More information

Receiver Architecture

Receiver Architecture Receiver Architecture Receiver basics Channel selection why not at RF? BPF first or LNA first? Direct digitization of RF signal Receiver architectures Sub-sampling receiver noise problem Heterodyne receiver

More information

A Continuous-time Sigma-delta Modulator with Clock Jitter Tolerant Self-resetting Return-to-zero Feedback DAC

A Continuous-time Sigma-delta Modulator with Clock Jitter Tolerant Self-resetting Return-to-zero Feedback DAC JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.4, AUGUST, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.4.468 ISSN(Online) 2233-4866 A Continuous-time Sigma-delta Modulator

More information

T. Taris, H. Kraïmia, JB. Begueret, Y. Deval. Bordeaux, France. 12/15-16, 2011 Lauzanne, Switzerland

T. Taris, H. Kraïmia, JB. Begueret, Y. Deval. Bordeaux, France. 12/15-16, 2011 Lauzanne, Switzerland 1 MOSFET Modeling for Ultra Low-Power RF Design T. Taris, H. Kraïmia, JB. Begueret, Y. Deval Bordeaux, France 2 Context More services in Environment survey Energy management Process optimisation Aging

More information

A Pulse-Based CMOS Ultra-Wideband Transmitter for WPANs

A Pulse-Based CMOS Ultra-Wideband Transmitter for WPANs A Pulse-Based CMOS Ultra-Wideband Transmitter for WPANs Murat Demirkan* Solid-State Circuits Research Laboratory University of California, Davis *Now with Agilent Technologies, Santa Clara, CA 03/20/2008

More information

AVoltage Controlled Oscillator (VCO) was designed and

AVoltage Controlled Oscillator (VCO) was designed and 1 EECE 457 VCO Design Project Jason Khuu, Erik Wu Abstract This paper details the design and simulation of a Voltage Controlled Oscillator using a 0.13µm process. The final VCO design meets all specifications.

More information

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University By: K. Tripurari, C. W. Hsu, J. Kuppambatti, B. Vigraham, P.R. Kinget Columbia University For

More information

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN 5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE 802.11a/b/g WLAN Manolis Terrovitis, Michael Mack, Kalwant Singh, and Masoud Zargari 1 Atheros Communications, Sunnyvale, California 1 Atheros

More information

Scalable and Synthesizable. Analog IPs

Scalable and Synthesizable. Analog IPs Scalable and Synthesizable Analog IPs Akira Matsuzawa Tokyo Institute of Technology Background and Motivation 1 Issues It becomes more difficult to obtain good analog IPs Insufficient design resources

More information

A Single-Chip 2.4-GHz Direct-Conversion CMOS Receiver for Wireless Local Loop using Multiphase Reduced Frequency Conversion Technique

A Single-Chip 2.4-GHz Direct-Conversion CMOS Receiver for Wireless Local Loop using Multiphase Reduced Frequency Conversion Technique 800 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 5, MAY 2001 A Single-Chip 2.4-GHz Direct-Conversion CMOS Receiver for Wireless Local Loop using Multiphase Reduced Frequency Conversion Technique

More information

A Switched-Capacitor Band-Pass Biquad Filter Using a Simple Quasi-unity Gain Amplifier

A Switched-Capacitor Band-Pass Biquad Filter Using a Simple Quasi-unity Gain Amplifier A Switched-Capacitor Band-Pass Biquad Filter Using a Simple Quasi-unity Gain Amplifier Hugo Serra, Nuno Paulino, and João Goes Centre for Technologies and Systems (CTS) UNINOVA Dept. of Electrical Engineering

More information

Nonlinear Macromodeling of Amplifiers and Applications to Filter Design.

Nonlinear Macromodeling of Amplifiers and Applications to Filter Design. ECEN 622 Nonlinear Macromodeling of Amplifiers and Applications to Filter Design. By Edgar Sanchez-Sinencio Thanks to Heng Zhang for part of the material OP AMP MACROMODELS Systems containing a significant

More information

An Asymmetrical Bulk CMOS Switch for 2.4 GHz Application

An Asymmetrical Bulk CMOS Switch for 2.4 GHz Application Progress In Electromagnetics Research Letters, Vol. 66, 99 104, 2017 An Asymmetrical Bulk CMOS Switch for 2.4 GHz Application Lang Chen 1, * and Ye-Bing Gan 1, 2 Abstract A novel asymmetrical single-pole

More information

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible A Forward-Body-Bias Tuned 450MHz Gm-C 3 rd -Order Low-Pass Filter in 28nm UTBB FD-SOI with >1dBVp IIP3 over a 0.7-to-1V Supply Joeri Lechevallier 1,2, Remko Struiksma 1, Hani Sherry 2, Andreia Cathelin

More information

Design and Simulation of Low Voltage Operational Amplifier

Design and Simulation of Low Voltage Operational Amplifier Design and Simulation of Low Voltage Operational Amplifier Zach Nelson Department of Electrical Engineering, University of Nevada, Las Vegas 4505 S Maryland Pkwy, Las Vegas, NV 89154 United States of America

More information

26.8: A 1.9GHz Single-Chip CMOS PHS Cellphone

26.8: A 1.9GHz Single-Chip CMOS PHS Cellphone 26.8: A 1.9GHz Single-Chip CMOS PHS Cellphone William W. Si, Srenik Mehta, Hirad Samavati, Manolis Terrovitis, Michael Mack, KeithOnodera, SteveJen, Susan Luschas, Justin Hwang, SuniMendis, DavidSu, BruceWooley

More information

A 2.4 GHz to 3.86 GHz digitally controlled oscillator with 18.5 khz frequency resolution using single PMOS varactor

A 2.4 GHz to 3.86 GHz digitally controlled oscillator with 18.5 khz frequency resolution using single PMOS varactor LETTER IEICE Electronics Express, Vol.9, No.24, 1842 1848 A 2.4 GHz to 3.86 GHz digitally controlled oscillator with 18.5 khz frequency resolution using single PMOS varactor Yangyang Niu, Wei Li a), Ning

More information

Nonlinear Macromodeling of Amplifiers and Applications to Filter Design.

Nonlinear Macromodeling of Amplifiers and Applications to Filter Design. ECEN 622(ESS) Nonlinear Macromodeling of Amplifiers and Applications to Filter Design. By Edgar Sanchez-Sinencio Thanks to Heng Zhang for part of the material OP AMP MACROMODELS Systems containing a significant

More information

A-1.8V Operation Switchable Direct-Conversion Receiver with sub-harmonic mixer

A-1.8V Operation Switchable Direct-Conversion Receiver with sub-harmonic mixer , pp.94-98 http://dx.doi.org/1.14257/astl.216.135.24 A-1.8V Operation Switchable Direct-Conversion Receiver with sub-harmonic mixer Mi-young Lee 1 1 Dept. of Electronic Eng., Hannam University, Ojeong

More information

A 35 fj 10b 160 MS/s Pipelined- SAR ADC with Decoupled Flip- Around MDAC and Self- Embedded Offset Cancellation

A 35 fj 10b 160 MS/s Pipelined- SAR ADC with Decoupled Flip- Around MDAC and Self- Embedded Offset Cancellation Y. Zu, C.- H. Chan, S.- W. Sin, S.- P. U, R.P. Martins, F. Maloberti: "A 35 fj 10b 160 MS/s Pipelined-SAR ADC with Decoupled Flip-Around MDAC and Self- Embedded Offset Cancellation"; IEEE Asian Solid-

More information

Low voltage LNA, mixer and VCO 1GHz

Low voltage LNA, mixer and VCO 1GHz DESCRIPTION The is a combined RF amplifier, VCO with tracking bandpass filter and mixer designed for high-performance low-power communication systems from 800-1200MHz. The low-noise preamplifier has a

More information

Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity

Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity Marvin Onabajo Assistant Professor Analog and Mixed-Signal Integrated Circuits (AMSIC) Research Laboratory Dept.

More information

Radio Research Directions. Behzad Razavi Communication Circuits Laboratory Electrical Engineering Department University of California, Los Angeles

Radio Research Directions. Behzad Razavi Communication Circuits Laboratory Electrical Engineering Department University of California, Los Angeles Radio Research Directions Behzad Razavi Communication Circuits Laboratory Electrical Engineering Department University of California, Los Angeles Outline Introduction Millimeter-Wave Transceivers - Applications

More information

A 900MHz / 1.8GHz CMOS Receiver for Dual Band Applications*

A 900MHz / 1.8GHz CMOS Receiver for Dual Band Applications* FA 8.2: S. Wu, B. Razavi A 900MHz / 1.8GHz CMOS Receiver for Dual Band Applications* University of California, Los Angeles, CA This dual-band CMOS receiver for GSM and DCS1800 applications incorporates

More information

High-Robust Relaxation Oscillator with Frequency Synthesis Feature for FM-UWB Transmitters

High-Robust Relaxation Oscillator with Frequency Synthesis Feature for FM-UWB Transmitters JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.2, APRIL, 2015 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2015.15.2.202 ISSN(Online) 2233-4866 High-Robust Relaxation Oscillator with

More information

Quadrature GPS Receiver Front-End in 0.13μm CMOS: The QLMV cell

Quadrature GPS Receiver Front-End in 0.13μm CMOS: The QLMV cell 1 Quadrature GPS Receiver Front-End in 0.13μm CMOS: The QLMV cell Yee-Huan Ng, Po-Chia Lai, and Jia Ruan Abstract This paper presents a GPS receiver front end design that is based on the single-stage quadrature

More information

Cascaded Noise-Shaping Modulators for Oversampled Data Conversion

Cascaded Noise-Shaping Modulators for Oversampled Data Conversion Cascaded Noise-Shaping Modulators for Oversampled Data Conversion Bruce A. Wooley Stanford University B. Wooley, Stanford, 2004 1 Outline Oversampling modulators for A/D conversion Cascaded noise-shaping

More information

CMOS ADC & DAC Principles

CMOS ADC & DAC Principles CMOS ADC & DAC Principles Willy Sansen KULeuven, ESAT-MICAS Leuven, Belgium willy.sansen@esat.kuleuven.be Willy Sansen 10-05 201 Table of contents Definitions Digital-to-analog converters Resistive Capacitive

More information

FD-SOI FOR RF IC DESIGN. SITRI LETI Workshop Mercier Eric 08 september 2016

FD-SOI FOR RF IC DESIGN. SITRI LETI Workshop Mercier Eric 08 september 2016 FD-SOI FOR RF IC DESIGN SITRI LETI Workshop Mercier Eric 08 september 2016 UTBB 28 nm FD-SOI : RF DIRECT BENEFITS (1/2) 3 back-end options available Routing possible on the AluCap level no restriction

More information

A GHz 32nm CMOS VCO with 177.5dBc/Hz minimum noise FoM using inductor splitting for tuning extension

A GHz 32nm CMOS VCO with 177.5dBc/Hz minimum noise FoM using inductor splitting for tuning extension A 33.6-46.2GHz 32nm CMOS VCO with 177.5dBc/Hz minimum noise FoM using inductor splitting for tuning extension E. Mammei, E. Monaco*, A. Mazzanti, F. Svelto Università degli Studi di Pavia, Pavia, Italy

More information

A Dual-Step-Mixing ILFD using a Direct Injection Technique for High- Order Division Ratios in 60GHz Applications

A Dual-Step-Mixing ILFD using a Direct Injection Technique for High- Order Division Ratios in 60GHz Applications A Dual-Step-Mixing ILFD using a Direct Injection Technique for High- Order Division Ratios in 60GHz Applications Teerachot Siriburanon, Wei Deng, Ahmed Musa, Kenichi Okada, and Akira Matsuzawa Tokyo Institute

More information

Low-Power Pipelined ADC Design for Wireless LANs

Low-Power Pipelined ADC Design for Wireless LANs Low-Power Pipelined ADC Design for Wireless LANs J. Arias, D. Bisbal, J. San Pablo, L. Quintanilla, L. Enriquez, J. Vicente, J. Barbolla Dept. de Electricidad y Electrónica, E.T.S.I. de Telecomunicación,

More information

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem A report Submitted to Canopus Systems Inc. Zuhail Sainudeen and Navid Yazdi Arizona State University July 2001 1. Overview

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

A 24-GHz Quadrature Receiver Front-end in 90-nm CMOS

A 24-GHz Quadrature Receiver Front-end in 90-nm CMOS A 24GHz Quadrature Receiver Frontend in 90nm CMOS Törmänen, Markus; Sjöland, Henrik Published in: Proc. 2009 IEEE Asia Pacific Microwave Conference Published: 20090101 Link to publication Citation for

More information

Assoc. Prof. Dr. MONTREE SIRIPRUCHYANUN

Assoc. Prof. Dr. MONTREE SIRIPRUCHYANUN 1 Assoc. Prof. Dr. MONTREE SIRIPRUCHYANUN Dept. of Teacher Training in Electrical Engineering 1 King Mongkut s Institute of Technology North Bangkok 1929 Bulky, expensive and required high supply voltages.

More information

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT PRADEEP G CHAGASHETTI Mr. H.V. RAVISH ARADHYA Department of E&C Department of E&C R.V.COLLEGE of ENGINEERING R.V.COLLEGE of ENGINEERING Bangalore

More information

A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement and Noise Cancellation

A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement and Noise Cancellation 2017 International Conference on Electronic, Control, Automation and Mechanical Engineering (ECAME 2017) ISBN: 978-1-60595-523-0 A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement

More information

A 25MS/s 14b 200mW Σ Modulator in 0.18µm CMOS

A 25MS/s 14b 200mW Σ Modulator in 0.18µm CMOS UT Mixed-Signal/RF Integrated Circuits Seminar Series A 25MS/s 14b 200mW Σ Modulator in 0.18µm CMOS Pio Balmelli April 19 th, Austin TX 2 Outline VDSL specifications Σ A/D converter features Broadband

More information

A Successive Approximation ADC based on a new Segmented DAC

A Successive Approximation ADC based on a new Segmented DAC A Successive Approximation ADC based on a new Segmented DAC segmented current-mode DAC successive approximation ADC bi-direction segmented current-mode DAC DAC INL 0.47 LSB DNL 0.154 LSB DAC 3V 8 2MS/s

More information

Designing a 960 MHz CMOS LNA and Mixer using ADS. EE 5390 RFIC Design Michelle Montoya Alfredo Perez. April 15, 2004

Designing a 960 MHz CMOS LNA and Mixer using ADS. EE 5390 RFIC Design Michelle Montoya Alfredo Perez. April 15, 2004 Designing a 960 MHz CMOS LNA and Mixer using ADS EE 5390 RFIC Design Michelle Montoya Alfredo Perez April 15, 2004 The University of Texas at El Paso Dr Tim S. Yao ABSTRACT Two circuits satisfying the

More information

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters.

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 25.4 A 1.8V 14b 10MS/s Pipelined ADC in 0.18µm CMOS with 99dB SFDR Yun Chiu, Paul R. Gray, Borivoje Nikolic University of California, Berkeley,

More information

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth LETTER IEICE Electronics Express, Vol.11, No.2, 1 9 A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth Mingshuo Wang a), Fan Ye, Wei Li, and Junyan Ren b) State Key Laboratory

More information

A 10 bit, 1.8 GS/s Time Interleaved Pipeline ADC

A 10 bit, 1.8 GS/s Time Interleaved Pipeline ADC A 10 bit, 1.8 GS/s Time Interleaved Pipeline ADC M. Åberg 2, A. Rantala 2, V. Hakkarainen 1, M. Aho 1, J. Riikonen 1, D. Gomes Martin 2, K. Halonen 1 1 Electronic Circuit Design Laboratory Helsinki University

More information

1GHz low voltage LNA, mixer and VCO

1GHz low voltage LNA, mixer and VCO DESCRIPTION The is a combined RF amplifier, VCO with tracking bandpass filter and mixer designed for high-performance low-power communication systems from 800-1200MHz. The low-noise preamplifier has a

More information

A Mostly Digital Variable-Rate Continuous- Time ADC Modulator

A Mostly Digital Variable-Rate Continuous- Time ADC Modulator A Mostly Digital Variable-Rate Continuous- Time ADC Modulator Gerry Taylor 1,2, Ian Galton 1 1 University of California at San Diego, La Jolla, CA 2 Analog Devices, San Diego, CA INTEGRATED SIGNAL PROCESSING

More information

A Low Area, Switched-Resistor Loop Filter Technique for Fractional-N Synthesizers Applied to a MEMS-based Programmable Oscillator

A Low Area, Switched-Resistor Loop Filter Technique for Fractional-N Synthesizers Applied to a MEMS-based Programmable Oscillator A Low Area, Switched-Resistor Loop Filter Technique for Fractional-N Synthesizers Applied to a MEMS-based Programmable Oscillator ISSCC 00, Session 3. M.H. Perrott, S. Pamarti, E. Hoffman, F.S. Lee, S.

More information

NEW WIRELESS applications are emerging where

NEW WIRELESS applications are emerging where IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 709 A Multiply-by-3 Coupled-Ring Oscillator for Low-Power Frequency Synthesis Shwetabh Verma, Member, IEEE, Junfeng Xu, and Thomas H. Lee,

More information

Revision History. Contents

Revision History. Contents Revision History Ver. # Rev. Date Rev. By Comment 0.0 9/15/2012 Initial draft 1.0 9/16/2012 Remove class A part 2.0 9/17/2012 Comments and problem 2 added 3.0 10/3/2012 cmdmprobe re-simulation, add supplement

More information

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Bootstrapped ring oscillator with feedforward

More information

A GSM Band Low-Power LNA 1. LNA Schematic

A GSM Band Low-Power LNA 1. LNA Schematic A GSM Band Low-Power LNA 1. LNA Schematic Fig1.1 Schematic of the Designed LNA 2. Design Summary Specification Required Simulation Results Peak S21 (Gain) > 10dB >11 db 3dB Bandwidth > 200MHz (

More information

THERE is currently a great deal of activity directed toward

THERE is currently a great deal of activity directed toward IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 12, DECEMBER 1997 2097 A 2.5-GHz BiCMOS Transceiver for Wireless LAN s Robert G. Meyer, Fellow IEEE, William D. Mack, Senior Member IEEE, and Johannes

More information

A Low-Voltage, Low-Power, Two-Stage Amplifier for Switched-Capacitor Applications in 90 nm CMOS Process

A Low-Voltage, Low-Power, Two-Stage Amplifier for Switched-Capacitor Applications in 90 nm CMOS Process A Low-Voltage, Low-Power, Two-Stage Amplifier for Switched-Capacitor Applications in 90 nm CMOS Process S. H. Mirhosseini* and A. Ayatollahi* Downloaded from ijeee.iust.ac.ir at 16:45 IRDT on Tuesday April

More information

A Low Power 900MHz Superheterodyne Compressive Sensing Receiver for Sparse Frequency Signal Detection

A Low Power 900MHz Superheterodyne Compressive Sensing Receiver for Sparse Frequency Signal Detection A Low Power 900MHz Superheterodyne Compressive Sensing Receiver for Sparse Frequency Signal Detection Hamid Nejati and Mahmood Barangi 4/14/2010 Outline Introduction System level block diagram Compressive

More information

Class-AB Low-Voltage CMOS Unity-Gain Buffers

Class-AB Low-Voltage CMOS Unity-Gain Buffers Class-AB Low-Voltage CMOS Unity-Gain Buffers Mariano Jimenez, Antonio Torralba, Ramón G. Carvajal and J. Ramírez-Angulo Abstract Class-AB circuits, which are able to deal with currents several orders of

More information