A/D Conversion and Filtering for Ultra Low Power Radios. Dejan Radjen Yasser Sherazi. Advanced Digital IC Design. Contents. Why is this important?

Size: px
Start display at page:

Download "A/D Conversion and Filtering for Ultra Low Power Radios. Dejan Radjen Yasser Sherazi. Advanced Digital IC Design. Contents. Why is this important?"

Transcription

1 1 Advanced Digital IC Design A/D Conversion and Filtering for Ultra Low Power Radios Dejan Radjen Yasser Sherazi Contents A/D Conversion A/D Converters Introduction ΔΣ modulator for Ultra Low Power Radios Measurement Results Sub-VT Digital Circuits Motivation and Sub-VT Basics High-level Modeling in the Sub-V T Domain Energy-Throughput Analysis w.r.t. different V T s Reliability Analysis Conclusions Low Power Circuits, Dejan and Yasser, Low Power Circuits, Dejan and Yasser, Why is this important? A/D Conversion Low Power Circuits, Dejan and Yasser, Low Power Circuits, Dejan and Yasser,

2 2 A/D converters introduction A/D converters introduction White noise approximation accuracy Accurate for rapidly changing and random input signals Increases with the number of bits in the quantizer Least accurate for 1-bit quantizers but used anyway Maximum theoretical SNR for an N-bit ideal ADC SQNRdB = 6.02N Low Power Circuits, Dejan and Yasser, Low Power Circuits, Dejan and Yasser, Basic principle of oversampling Basic principle of oversampling Low Power Circuits, Dejan and Yasser, Low Power Circuits, Dejan and Yasser,

3 3 ΔΣ modulation for A/D conversion SQNR controlled by Order of the loop filter Number of bits in the quantizer Oversampling ratio General ΔΣ-modulator Y( z) = STF( z) X( z) + NTF( z) E( z) Signal Transfer Function: STF( z) Noise Transfer Function: NTF( z) Linear model ΔΣ ADCs vs. Nyquist ADCs + High resolution obtained with few bits in the quantizer + Mismatch in the quantizer suppressed by the loop - Additional analog blocks in the loop filter - Feedback loop stability issues Low Power Circuits, Dejan and Yasser, Low Power Circuits, Dejan and Yasser, Ultra Low Power Receiver Continuous Time ΔΣ-modulators Synchronization RF front end ΔΣ modulator Decimation filters Matching filters Analog decoder Continuous time domain Discrete time domain Sampling operation moved after the loop filter Implicit anti alias filtering inherited Specifications Supply voltage: 900 mv Maximum input signal: 200 mv diff Power consumption: 300 μw Bandwidth: 125 khz SNDR target: 70 db Sampling frequency: 4 MHz Digital Baseband Low Power Circuits, Dejan and Yasser, Low Power Circuits, Dejan and Yasser,

4 4 CT Modulator for ULP Radios DAC mismatch A third order, 3-bit CT ΔΣ modulator has been implemented in CMOS In multi-bit DACs, mismatch between DAC cells causes nonlinearities Digital correction techniques are used to correct for mismatch One successful correction technique is called Data Weighted Averaging g (DWA) [1] D. Radjen, P. Andreani, M. Anderson and L. Sundström, A Low Power Continuous Time ΔΣ Modulator with Reduced Clock Jitter Sensitivity through DSCR Feedback, Norchip, Nov Low Power Circuits, Dejan and Yasser, Low Power Circuits, Dejan and Yasser, DWA algorithm Layout Implementation of the DWA algorithm Decoupling capacitors Loop filter Flash ADC DWA Output buffers DAC1 DAC2 DAC3 Low Power Circuits, Dejan and Yasser, Low Power Circuits, Dejan and Yasser,

5 5 Measurement Results Measurement Results Output spectrum Results summary HD 3 =-73dBFS HD 2 =-73dBFS Chip photo DWA on DWA off Low Power Circuits, Dejan and Yasser, Low Power Circuits, Dejan and Yasser, Measurement Results Conclusions SNR/SNDR vs. amplitude Peak SNDR= dbfs Peak SNR= dbfs ΔΣ modulators achieve high resolution using a few bits in the quantizer Multi-bit CT ΔΣ are sensitive to errors in the feedback DAC Digital correction needed ΔΣ modulation Usually employed for moderate resolution o but pushing towards higher resolutions and higher frequencies Low Power Circuits, Dejan and Yasser, Low Power Circuits, Dejan and Yasser,

6 6 Motivation and Sub-VT Basics Sub-VT Digital Circuits Energy minimum operating voltage in sub-vt. Circuit operates at critical path speed, idle time is minimized. Delay increases exponentially. Energy Optimum Region Low Power Circuits, Dejan and Yasser, Low Power Circuits, Dejan and Yasser, Main Sources of Leakage Normalized average leakage in Inverter Circuitry using RBB Gate leakage Tunneling of electrons from bulk and the overlapped p-n diffusion region into the gate through the thin oxide. P-N junction leakage Flow of the minority carries drifting from the reverse p-n junction between both source and drain to bulk (BTBT). BTBT BULK GATE SUB BTBT Sub-threshold leakage Caused by diffusion of carriers in weak inversion region. At V DD =0.1V, we get 6% leakage reduction. At V DD = 0.3V, we get 16% leakage reduction. At V DD = 1.2V, we get 20% leakage reduction. Low Power Circuits, Dejan and Yasser, Low Power Circuits, Dejan and Yasser,

7 7 High level Modeling in the Sub V T Domain [1] Energy Model Application No standard/commercial flow available which simply characterizes designs with V DD 400 mv. Effect of Switching Activity on EMV [3] Script Based Processing Good For Initial Charaterization High level Energy Model Conventional EDA tools. SPICE accurate in a fraction of SPICE simulation time. Any RTL design. Standard and full custom based designs. For Sign Off, recharaterized Sub VT lib flow is used to get better timing information [2] [1] O. Akgun, J. Rodrigues, Y. Leblebici, and V. Owall, High level energy estimation in the sub V T domain: Simulation and measurement of a cardiac event detector, in IEEE TBIOCAS. [2] Pascal Meinerzhagen, Oskar Andersson, Yasser Sherazi, Andreas Burg, and Joachim Rodrigues, Synthesis Strategies for Sub V T Systems ECCTD Low Power Circuits, Dejan and Yasser, High switch activity shifts EMV to lower voltages. Sub optimal operational frequency leads to high energy dissipation. [3] Oskar Andersson, S. M. Yasser Sherazi, and Joachim N. Rodrigues, Impact of Switching Activity on the Energy Minimum Voltage for 65 nm Sub V T CMOS. Submitted Low Power Circuits, Dejan and Yasser, Energy Model Application Energy Throughput Analysis w.r.t. different V T s Decimation Filter Chain [4,5] Original Requirements Minimum energy per sample operation. Decimate data from 8 Msamples/s to 025M 0.25 Msamples/s. Questions: Optimal operational voltages. Parallelized by 4 Architectures that provide sufficient throughputs need to be developed. Selection of cells based on threshold options in 65 nm. Various architectures of a half band digital filter (HBD) are implemented: Parallelized by 2,4, and 8. [4] S. Sherazi, J. Rodrigues, O. Akgun, H. Sjöland, and P. Nilsson, Ultra low power sub V T decimation filter chain, Norchip, [5] S. Sherazi, P. Nilsson, O. Akgun, H. Sjöland, and J. Rodrigues, Design exploration of a 65 nm sub V T CMOS digital decimation filter chain, ISCAS, Energy vs V DD. Minimum Energy HVT cells have least energy dissipation. HVT = High V T Cells SVT = Standard V T Cells LVT = Low V T Cells 250 mv Energy vs Throughput. SVT cells have least energy dissipation for moderate throughput requirments. Low Power Circuits, Dejan and Yasser, Low Power Circuits, Dejan and Yasser,

8 8 Dual V T Implementations [5] Standard Cell Based Memory [6] Minimum Energy H+S SVT HVT 250 mv H+S SVT HVT Super V T or Nominal Voltage SRAM macro cells become significantly larger due to the need for 8 T or 10 T [7] bit cells Additional assist circuits required for reliable sub V T operation (sense amplifier). Energy vs Voltage No advantage is observed for H+S combination. [5] S. Sherazi, Joachim N. Rodrigues, Omer C. Akgun, Henrik Sjöland, and Peter Nilsson Ultra Low Energy Design Exploration of Digital Decimation Filters in 65 nm Dual V T CMOS in the Sub VT Domain, MicroProcessor, Elsevier 2011 (Finial revision) Low Power Circuits, Dejan and Yasser, Latch arrays are smaller than SRAM macro cells for storage capacities of up to around 1 kbit. [6] N. Verma and A. Chandrakasan, A 65nm 8 10T sub V T SRAM employing sense amplifier redundancy, in Proc. IEEE ISSCC, Feb [7] P. Meinerzhagen, S. M. Y. Sherazi, A. Burg, and J. N. Rodrigues, Benchmarking of standard cell based memories in the sub V T domain in 65 nm CMOS technology, IEEE Journal on JETCAS, Low Power Circuits, Dejan and Yasser, Standard Cell Based Memories (SCM) [7] Energy Analysis of SCMs Energy vs Voltage Flip Flop based implementation are a bit faster. [7] P. Meinerzhagen, S. M. Y. Sherazi, A. Burg, and J. N. Rodrigues, Benchmarking of standard cell based memories in the sub V T domain in 65 nm cmos technology, IEEE Journal on JETCAS, Low Power Circuits, Dejan and Yasser, Energy vs Voltage Latch based multiplexer clock gate architecture for R = 256, C = 128 and for R = 128, C = 256. The Δ corresponds to [8], a hard macro SRAM memory. [8] B. H. Calhoun and A. P. Chandrakasan, A 256 kb 65 nm subthreshold SRAM design for ultra lowvoltage operation, in IEEE J. of Solid State Circuits, Low Power Circuits, Dejan and Yasser,

9 9 Reliability Analysis Conclusions SNM A high level energy flow for sub V T domain characterization was presented. Enablesarchitectural architectural design space exploration. SNM Dual V T implementations may not be beneficial. Eye diagram of the latch used in the SCM architecture for V DD =0.4V and V DD =0.25V point Monte Carlo circuit simulation assuming within die process parameter variations. Operation is still possible below V T, but the SNMs are small and reliability starts to become critical at 250mV. SCM are promising option for sub V T memories. Proper knowledge of input stimuli is crucial for system specification. Low Power Circuits, Dejan and Yasser, Low Power Circuits, Dejan and Yasser,

Paper presentation Ultra-Portable Devices

Paper presentation Ultra-Portable Devices Paper presentation Ultra-Portable Devices Paper: Lourans Samid, Yiannos Manoli, A Low Power and Low Voltage Continuous Time Δ Modulator, ISCAS, pp 4066-4069, 23 26 May, 2005. Presented by: Dejan Radjen

More information

Design Space Exploration of Digital Circuits for Ultra-low Energy Dissipation

Design Space Exploration of Digital Circuits for Ultra-low Energy Dissipation Design Space Exploration of Digital Circuits for Ultra-low Energy Dissipation Sherazi, Syed Muhammad Yasser 2013 Link to publication Citation for published version (APA): Sherazi, S. M. Y. (2013). Design

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

2008/09 Advances in the mixed signal IC design group

2008/09 Advances in the mixed signal IC design group 2008/09 Advances in the mixed signal IC design group Mattias Andersson Mixed-Signal IC Design Department for Electrical and Information Technology Lund University 1 Mixed Signal IC Design Researchers Associate

More information

Sigma-Delta ADC Tutorial and Latest Development in 90 nm CMOS for SoC

Sigma-Delta ADC Tutorial and Latest Development in 90 nm CMOS for SoC Sigma-Delta ADC Tutorial and Latest Development in 90 nm CMOS for SoC Jinseok Koh Wireless Analog Technology Center Texas Instruments Inc. Dallas, TX Outline Fundamentals for ADCs Over-sampling and Noise

More information

Pipeline vs. Sigma Delta ADC for Communications Applications

Pipeline vs. Sigma Delta ADC for Communications Applications Pipeline vs. Sigma Delta ADC for Communications Applications Noel O Riordan, Mixed-Signal IP Group, S3 Semiconductors noel.oriordan@s3group.com Introduction The Analog-to-Digital Converter (ADC) is a key

More information

A Novel Latch design for Low Power Applications

A Novel Latch design for Low Power Applications A Novel Latch design for Low Power Applications Abhilasha Deptt. of Electronics and Communication Engg., FET-MITS Lakshmangarh, Rajasthan (India) K. G. Sharma Suresh Gyan Vihar University, Jagatpura, Jaipur,

More information

A Mostly Digital Variable-Rate Continuous- Time ADC Modulator

A Mostly Digital Variable-Rate Continuous- Time ADC Modulator A Mostly Digital Variable-Rate Continuous- Time ADC Modulator Gerry Taylor 1,2, Ian Galton 1 1 University of California at San Diego, La Jolla, CA 2 Analog Devices, San Diego, CA INTEGRATED SIGNAL PROCESSING

More information

DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS

DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS by Yves Geerts Alcatel Microelectronics, Belgium Michiel Steyaert KU Leuven, Belgium and Willy Sansen KU Leuven,

More information

Summary Last Lecture

Summary Last Lecture Interleaved ADCs EE47 Lecture 4 Oversampled ADCs Why oversampling? Pulse-count modulation Sigma-delta modulation 1-Bit quantization Quantization error (noise) spectrum SQNR analysis Limit cycle oscillations

More information

NPTEL. VLSI Data Conversion Circuits - Video course. Electronics & Communication Engineering.

NPTEL. VLSI Data Conversion Circuits - Video course. Electronics & Communication Engineering. NPTEL Syllabus VLSI Data Conversion Circuits - Video course COURSE OUTLINE This course covers the analysis and design of CMOS Analog-to-Digital and Digital-to-Analog Converters,with about 7 design assigments.

More information

2011/12 Cellular IC design RF, Analog, Mixed-Mode

2011/12 Cellular IC design RF, Analog, Mixed-Mode 2011/12 Cellular IC design RF, Analog, Mixed-Mode Mohammed Abdulaziz, Mattias Andersson, Jonas Lindstrand, Xiaodong Liu, Anders Nejdel Ping Lu, Luca Fanori Martin Anderson, Lars Sundström, Pietro Andreani

More information

The Case for Oversampling

The Case for Oversampling EE47 Lecture 4 Oversampled ADCs Why oversampling? Pulse-count modulation Sigma-delta modulation 1-Bit quantization Quantization error (noise) spectrum SQNR analysis Limit cycle oscillations nd order ΣΔ

More information

INF4420. ΔΣ data converters. Jørgen Andreas Michaelsen Spring 2012

INF4420. ΔΣ data converters. Jørgen Andreas Michaelsen Spring 2012 INF4420 ΔΣ data converters Spring 2012 Jørgen Andreas Michaelsen (jorgenam@ifi.uio.no) Outline Oversampling Noise shaping Circuit design issues Higher order noise shaping Introduction So far we have considered

More information

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters.

More information

Lecture #6: Analog-to-Digital Converter

Lecture #6: Analog-to-Digital Converter Lecture #6: Analog-to-Digital Converter All electrical signals in the real world are analog, and their waveforms are continuous in time. Since most signal processing is done digitally in discrete time,

More information

EE247 Lecture 22. Figures of merit (FOM) and trends for ADCs How to use/not use FOM. EECS 247 Lecture 22: Data Converters 2004 H. K.

EE247 Lecture 22. Figures of merit (FOM) and trends for ADCs How to use/not use FOM. EECS 247 Lecture 22: Data Converters 2004 H. K. EE247 Lecture 22 Pipelined ADCs Combining the bits Stage implementation Circuits Noise budgeting Figures of merit (FOM) and trends for ADCs How to use/not use FOM Oversampled ADCs EECS 247 Lecture 22:

More information

Appendix A Comparison of ADC Architectures

Appendix A Comparison of ADC Architectures Appendix A Comparison of ADC Architectures A comparison of continuous-time delta-sigma (CT ), pipeline, and timeinterleaved (TI) SAR ADCs which target wide signal bandwidths (greater than 100 MHz) and

More information

Summary Last Lecture

Summary Last Lecture EE47 Lecture 5 Pipelined ADCs (continued) How many bits per stage? Algorithmic ADCs utilizing pipeline structure Advanced background calibration techniques Oversampled ADCs Why oversampling? Pulse-count

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Publication [P3] By choosing to view this document, you agree to all provisions of the copyright laws protecting it.

Publication [P3] By choosing to view this document, you agree to all provisions of the copyright laws protecting it. Publication [P3] Copyright c 2006 IEEE. Reprinted, with permission, from Proceedings of IEEE International Solid-State Circuits Conference, Digest of Technical Papers, 5-9 Feb. 2006, pp. 488 489. This

More information

A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique

A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique 1 A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique Masaya Miyahara and Akira Matsuzawa Tokyo Institute of Technology, Japan 2 Outline Motivation Design Concept

More information

A 98dB 3.3V 28mW-per-channel multibit audio DAC in a standard 0.35µm CMOS technology

A 98dB 3.3V 28mW-per-channel multibit audio DAC in a standard 0.35µm CMOS technology A 98dB 3.3V 28mW-per-channel multibit audio DAC in a standard 0.35µm CMOS technology M. Annovazzi, V. Colonna, G. Gandolfi, STMicroelectronics Via Tolomeo, 2000 Cornaredo (MI), Italy vittorio.colonna@st.com

More information

Low Power 6-Transistor Latch Design for Portable Devices

Low Power 6-Transistor Latch Design for Portable Devices Low Power 6-Transistor Latch Design for Portable Devices Abhilasha 1, *K.G.Sharma 2, Tripti Sharma 2 and Prof.B.P.Singh 1 Deptt. of Electronics and Communication Engg., FET-MITS Lakshmangarh, Rajasthan

More information

Oversampling Converters

Oversampling Converters Oversampling Converters Behzad Razavi Electrical Engineering Department University of California, Los Angeles Outline Basic Concepts First- and Second-Order Loops Effect of Circuit Nonidealities Cascaded

More information

MASH 2-1 MULTI-BIT SIGMA-DELTA MODULATOR FOR WLAN L 2 ( ) ( ) 1( 1 1 1

MASH 2-1 MULTI-BIT SIGMA-DELTA MODULATOR FOR WLAN L 2 ( ) ( ) 1( 1 1 1 MASH 2- MULTI-BIT SIGMA-DELTA MODULATOR FOR WLAN Yu hang, Ning Xie, Hui Wang and Yejun He College of Information Engineering, Shenzhen University, Shenzhen, Guangdong 58060, China kensouren@yahoo.com.cn

More information

Cascaded Noise-Shaping Modulators for Oversampled Data Conversion

Cascaded Noise-Shaping Modulators for Oversampled Data Conversion Cascaded Noise-Shaping Modulators for Oversampled Data Conversion Bruce A. Wooley Stanford University B. Wooley, Stanford, 2004 1 Outline Oversampling modulators for A/D conversion Cascaded noise-shaping

More information

A Two- Bit- per- Cycle Successive- Approximation ADC with Background Offset Calibration

A Two- Bit- per- Cycle Successive- Approximation ADC with Background Offset Calibration M. Casubolo, M. Grassi, A. Lombardi, F. Maloberti, P. Malcovati: "A Two-Bit-per- Cycle Successive-Approximation ADC with Background Calibration"; 15th IEEE Int. Conf. on Electronics, Circuits and Systems,

More information

[Singh*, 5(3): March, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785

[Singh*, 5(3): March, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY COMPARISON OF GDI BASED D FLIP FLOP CIRCUITS USING 90NM AND 180NM TECHNOLOGY Gurwinder Singh*, Ramanjeet Singh ECE Department,

More information

Architectures and Design Methodologies for Very Low Power and Power Effective A/D Sigma-Delta Converters

Architectures and Design Methodologies for Very Low Power and Power Effective A/D Sigma-Delta Converters 0 Architectures and Design Methodologies for Very Low Power and Power Effective A/D Sigma-Delta Converters F. Maloberti University of Pavia - Italy franco.maloberti@unipv.it 1 Introduction Summary Sigma-Delta

More information

On the Study of Improving Noise Shaping Techniques in Wide Bandwidth Sigma Delta Modulators

On the Study of Improving Noise Shaping Techniques in Wide Bandwidth Sigma Delta Modulators On the Study of Improving Noise Shaping Techniques in Wide Bandwidth Sigma Delta Modulators By Du Yun Master Degree in Electrical and Electronics Engineering 2013 Faculty of Science and Technology University

More information

ECE 627 Project: Design of a High-Speed Delta-Sigma A/D Converter

ECE 627 Project: Design of a High-Speed Delta-Sigma A/D Converter ECE 627 Project: Design of a High-Speed Delta-Sigma A/D Converter Brian L. Young youngbr@eecs.oregonstate.edu Oregon State University June 6, 28 I. INTRODUCTION The goal of the Spring 28, ECE 627 project

More information

Advanced AD/DA converters. ΔΣ DACs. Overview. Motivations. System overview. Why ΔΣ DACs

Advanced AD/DA converters. ΔΣ DACs. Overview. Motivations. System overview. Why ΔΣ DACs Advanced AD/DA converters Overview Why ΔΣ DACs ΔΣ DACs Architectures for ΔΣ DACs filters Smoothing filters Pietro Andreani Dept. of Electrical and Information Technology Lund University, Sweden Advanced

More information

Pushing Ultra-Low-Power Digital Circuits

Pushing Ultra-Low-Power Digital Circuits Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era David Bol Microelectronics Laboratory Ph.D public defense December 16, 2008 Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era

More information

CHAPTER. delta-sigma modulators 1.0

CHAPTER. delta-sigma modulators 1.0 CHAPTER 1 CHAPTER Conventional delta-sigma modulators 1.0 This Chapter presents the traditional first- and second-order DSM. The main sources for non-ideal operation are described together with some commonly

More information

Outline. Introduction 2/2. Introduction 1/2. Paper presentation Ultra-Portable Devices. Introduction. System Design for Ultra-Low Power.

Outline. Introduction 2/2. Introduction 1/2. Paper presentation Ultra-Portable Devices. Introduction. System Design for Ultra-Low Power. Paper presentation Ultra-Portable Devices Paper: Bernier, C. Hameau, F., et al. An Ultra Low Power SoC for 2.4GHz IEEE802.15.4 wireless communications, Solid-State Circuits Conference, 2008. ESSCIRC 2008.

More information

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Microelectronics Journal 39 (2008) 1714 1727 www.elsevier.com/locate/mejo Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Ranjith Kumar, Volkan Kursun Department

More information

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS Sang-Min Yoo, Jeffrey Walling, Eum Chan Woo, David Allstot University of Washington, Seattle, WA Submission Highlight A fully-integrated

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

Two- Path Band- Pass Σ- Δ Modulator with 40- MHz IF 72- db DR at 1- MHz Bandwidth Consuming 16 mw

Two- Path Band- Pass Σ- Δ Modulator with 40- MHz IF 72- db DR at 1- MHz Bandwidth Consuming 16 mw I. Galdi, E. Bonizzoni, F. Maloberti, G. Manganaro, P. Malcovati: "Two-Path Band- Pass Σ-Δ Modulator with 40-MHz IF 72-dB DR at 1-MHz Bandwidth Consuming 16 mw"; 33rd European Solid State Circuits Conf.,

More information

Electronics A/D and D/A converters

Electronics A/D and D/A converters Electronics A/D and D/A converters Prof. Márta Rencz, Gábor Takács, Dr. György Bognár, Dr. Péter G. Szabó BME DED December 1, 2014 1 / 26 Introduction The world is analog, signal processing nowadays is

More information

A K-Delta-1-Sigma Modulator for Wideband Analog-to-Digital Conversion

A K-Delta-1-Sigma Modulator for Wideband Analog-to-Digital Conversion A K-Delta-1-Sigma Modulator for Wideband Analog-to-Digital Conversion Abstract : R. Jacob Baker and Vishal Saxena Department of Electrical and Computer Engineering Boise State University jbaker@boisestate.edu

More information

SCALING power supply has become popular in lowpower

SCALING power supply has become popular in lowpower IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 1, JANUARY 2012 55 Design of a Subthreshold-Supply Bootstrapped CMOS Inverter Based on an Active Leakage-Current Reduction Technique

More information

A 60-dB Image Rejection Filter Using Δ-Σ Modulation and Frequency Shifting

A 60-dB Image Rejection Filter Using Δ-Σ Modulation and Frequency Shifting A 60-dB Image Rejection Filter Using Δ-Σ Modulation and Frequency Shifting Toshihiro Konishi, Koh Tsuruda, Shintaro Izumi, Hyeokjong Lee, Hidehiro Fujiwara, Takashi Takeuchi, Hiroshi Kawaguchi, and Masahiko

More information

SUCCESSIVE approximation register (SAR) analog-todigital

SUCCESSIVE approximation register (SAR) analog-todigital 426 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 62, NO. 5, MAY 2015 A Novel Hybrid Radix-/Radix-2 SAR ADC With Fast Convergence and Low Hardware Complexity Manzur Rahman, Arindam

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

[Chaudhari, 3(3): March, 2014] ISSN: Impact Factor: 1.852

[Chaudhari, 3(3): March, 2014] ISSN: Impact Factor: 1.852 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Design and Implementation of 1-bit Pipeline ADC in 0.18um CMOS Technology Bharti D.Chaudhari *1, Priyesh P.Gandh i2 *1 PG Student,

More information

Analog-to-Digital Converters

Analog-to-Digital Converters EE47 Lecture 3 Oversampled ADCs Why oversampling? Pulse-count modulation Sigma-delta modulation 1-Bit quantization Quantization error (noise) spectrum SQNR analysis Limit cycle oscillations nd order ΣΔ

More information

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Behnam Amelifard Department of EE-Systems University of Southern California Los Angeles, CA (213)

More information

EE247 Lecture 24. EE247 Lecture 24

EE247 Lecture 24. EE247 Lecture 24 EE247 Lecture 24 Administrative EE247 Final exam: Date: Wed. Dec. 15 th Time: -12:30pm-3:30pm- Location: 289 Cory Closed book/course notes No calculators/cell phones/pdas/computers Bring one 8x11 paper

More information

Basic Concepts and Architectures

Basic Concepts and Architectures CMOS Sigma-Delta Converters From Basics to State-of of-the-art Basic Concepts and Architectures Rocío del Río, R Belén Pérez-Verdú and José M. de la Rosa {rocio,belen,jrosa}@imse.cnm.es KTH, Stockholm,

More information

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 25.4 A 1.8V 14b 10MS/s Pipelined ADC in 0.18µm CMOS with 99dB SFDR Yun Chiu, Paul R. Gray, Borivoje Nikolic University of California, Berkeley,

More information

Design Of A Comparator For Pipelined A/D Converter

Design Of A Comparator For Pipelined A/D Converter Design Of A Comparator For Pipelined A/D Converter Ms. Supriya Ganvir, Mr. Sheetesh Sad ABSTRACT`- This project reveals the design of a comparator for pipeline ADC. These comparator is designed using preamplifier

More information

CMOS High Speed A/D Converter Architectures

CMOS High Speed A/D Converter Architectures CHAPTER 3 CMOS High Speed A/D Converter Architectures 3.1 Introduction In the previous chapter, basic key functions are examined with special emphasis on the power dissipation associated with its implementation.

More information

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS Low Power Design Part I Introduction and VHDL design Ricardo Santos ricardo@facom.ufms.br LSCAD/FACOM/UFMS Motivation for Low Power Design Low power design is important from three different reasons Device

More information

Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing

Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing N.Rajini MTech Student A.Akhila Assistant Professor Nihar HoD Abstract This project presents two original implementations

More information

Integrated Microsystems Laboratory. Franco Maloberti

Integrated Microsystems Laboratory. Franco Maloberti University of Pavia Integrated Microsystems Laboratory Power Efficient Data Convertes Franco Maloberti franco.maloberti@unipv.it OUTLINE Introduction Managing the noise power budget Challenges of State-of-the-art

More information

DAT175: Topics in Electronic System Design

DAT175: Topics in Electronic System Design DAT175: Topics in Electronic System Design Analog Readout Circuitry for Hearing Aid in STM90nm 21 February 2010 Remzi Yagiz Mungan v1.10 1. Introduction In this project, the aim is to design an adjustable

More information

Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wireless Applications

Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wireless Applications RESEARCH ARTICLE OPEN ACCESS Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wireless Applications Sharon Theresa George*, J. Mangaiyarkarasi** *(Department of Information and Communication

More information

IF-Sampling Digital Beamforming with Bit-Stream Processing. Jaehun Jeong

IF-Sampling Digital Beamforming with Bit-Stream Processing. Jaehun Jeong IF-Sampling Digital Beamforming with Bit-Stream Processing by Jaehun Jeong A dissertation submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy (Electrical Engineering)

More information

Telecommunication Electronics

Telecommunication Electronics Politecnico di Torino ICT School Telecommunication Electronics C5 - Special A/D converters» Logarithmic conversion» Approximation, A and µ laws» Differential converters» Oversampling, noise shaping Logarithmic

More information

Data Converters. Specifications for Data Converters. Overview. Testing and characterization. Conditions of operation

Data Converters. Specifications for Data Converters. Overview. Testing and characterization. Conditions of operation Data Converters Overview Specifications for Data Converters Pietro Andreani Dept. of Electrical and Information Technology Lund University, Sweden Conditions of operation Type of converter Converter specifications

More information

A 102-dB-SNR mixed CT/DT ADC with capacitor digital self-calibration for RC spread compensation

A 102-dB-SNR mixed CT/DT ADC with capacitor digital self-calibration for RC spread compensation Vol. 32, No. 8 Journal of Semiconductors August 2011 A 102-dB-SNR mixed CT/DT ADC with capacitor digital self-calibration for RC spread compensation Liu Yan( 刘岩 ), Hua Siliang( 华斯亮 ), Wang Donghui( 王东辉

More information

EE247 Lecture 26. This lecture is taped on Wed. Nov. 28 th due to conflict of regular class hours with a meeting

EE247 Lecture 26. This lecture is taped on Wed. Nov. 28 th due to conflict of regular class hours with a meeting EE47 Lecture 6 This lecture is taped on Wed. Nov. 8 th due to conflict of regular class hours with a meeting Any questions regarding this lecture could be discussed during regular office hours or in class

More information

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

Improved SNR Integrator Design with Feedback Compensation for Modulator

Improved SNR Integrator Design with Feedback Compensation for Modulator Improved SNR Integrator Design with Feedback Compensation for Modulator 1 Varun Mishra, 2 Abhishek Bora, 3 Vishal Ramola 1 M.Tech Student, 2 M.Tech Student, 3 Assistant Professor 1 VLSI Design, 1 Faculty

More information

A 2.5 V 109 db DR ADC for Audio Application

A 2.5 V 109 db DR ADC for Audio Application 276 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.10, NO.4, DECEMBER, 2010 A 2.5 V 109 db DR ADC for Audio Application Gwangyol Noh and Gil-Cho Ahn Abstract A 2.5 V feed-forward second-order deltasigma

More information

Data Converters. Springer FRANCO MALOBERTI. Pavia University, Italy

Data Converters. Springer FRANCO MALOBERTI. Pavia University, Italy Data Converters by FRANCO MALOBERTI Pavia University, Italy Springer Contents Dedicat ion Preface 1. BACKGROUND ELEMENTS 1.1 1.2 1.3 1.4 1.5 1.6 1.7 1.8 The Ideal Data Converter Sampling 1.2.1 Undersampling

More information

A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati 1 B.K.Arun Teja 2 K.Sai Ravi Teja 3

A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati 1 B.K.Arun Teja 2 K.Sai Ravi Teja 3 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 06, 2015 ISSN (online): 2321-0613 A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati

More information

Design And Simulation Of First Order Sigma Delta ADC In 0.13um CMOS Technology Jaydip H. Chaudhari PG Student L. C. Institute of Technology, Bhandu

Design And Simulation Of First Order Sigma Delta ADC In 0.13um CMOS Technology Jaydip H. Chaudhari PG Student L. C. Institute of Technology, Bhandu Design And Simulation Of First Order Sigma Delta ADC In 0.13um CMOS Technology Jaydip H. Chaudhari PG Student L. C. Institute of Technology, Bhandu Gireeja D. Amin Assistant Professor L. C. Institute of

More information

EE247 Lecture 26. EE247 Lecture 26

EE247 Lecture 26. EE247 Lecture 26 EE247 Lecture 26 Administrative Project submission: Project reports due Dec. 5th Please make an appointment with the instructor for a 15minute meeting on Monday Dec. 8 th Prepare to give a 3 to 7 minute

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

A single-slope 80MS/s ADC using two-step time-to-digital conversion

A single-slope 80MS/s ADC using two-step time-to-digital conversion A single-slope 80MS/s ADC using two-step time-to-digital conversion The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

A 10 Bit Low Power Current Steering Digital to Analog Converter Using 45 nm CMOS and GDI Logic

A 10 Bit Low Power Current Steering Digital to Analog Converter Using 45 nm CMOS and GDI Logic ISSN 2278 0211 (Online) A 10 Bit Low Power Current Steering Digital to Analog Converter Using 45 nm CMOS and GDI Logic Mehul P. Patel M. E. Student (Electronics & communication Engineering) C.U.Shah College

More information

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407 Index A Accuracy active resistor structures, 46, 323, 328, 329, 341, 344, 360 computational circuits, 171 differential amplifiers, 30, 31 exponential circuits, 285, 291, 292 multifunctional structures,

More information

EE247 Lecture 23. Advanced calibration techniques. Compensating inter-stage amplifier non-linearity Calibration via parallel & slow ADC

EE247 Lecture 23. Advanced calibration techniques. Compensating inter-stage amplifier non-linearity Calibration via parallel & slow ADC EE247 Lecture 23 Pipelined ADCs Combining the bits Stage implementation Circuits Noise budgeting Advanced calibration techniques Compensating inter-stage amplifier non-linearity Calibration via parallel

More information

Analog-to-Digital Converter Performance Signoff with Analog FastSPICE Transient Noise at Qualcomm

Analog-to-Digital Converter Performance Signoff with Analog FastSPICE Transient Noise at Qualcomm Analog-to-Digital Converter Performance Signoff with Analog FastSPICE Transient Noise at Qualcomm 2009 Berkeley Design Automation, Inc. 2902 Stender Way, Santa Clara, CA USA 95054 www.berkeley-da.com Tel:

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Bootstrapped ring oscillator with feedforward

More information

A Novel Low Power Digitally Controlled Oscillator with Improved linear Operating Range

A Novel Low Power Digitally Controlled Oscillator with Improved linear Operating Range A Novel Low Power Digitally Controlled Oscillator with Improved linear Operating Range Nasser Erfani Majd, Mojtaba Lotfizad Abstract In this paper, an ultra low power and low jitter 12bit CMOS digitally

More information

2. ADC Architectures and CMOS Circuits

2. ADC Architectures and CMOS Circuits /58 2. Architectures and CMOS Circuits Francesc Serra Graells francesc.serra.graells@uab.cat Departament de Microelectrònica i Sistemes Electrònics Universitat Autònoma de Barcelona paco.serra@imb-cnm.csic.es

More information

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique Total reduction of leakage power through combined effect of Sleep and variable body biasing technique Anjana R 1, Ajay kumar somkuwar 2 Abstract Leakage power consumption has become a major concern for

More information

Design of 1.8V, 72MS/s 12 Bit Pipeline ADC in 0.18µm Technology

Design of 1.8V, 72MS/s 12 Bit Pipeline ADC in 0.18µm Technology Design of 1.8V, 72MS/s 12 Bit Pipeline ADC in 0.18µm Technology Ravi Kumar 1, Seema Kanathe 2 ¹PG Scholar, Department of Electronics and Communication, Suresh GyanVihar University, Jaipur, India ²Assistant

More information

Leakage Current Analysis

Leakage Current Analysis Current Analysis Hao Chen, Latriese Jackson, and Benjamin Choo ECE632 Fall 27 University of Virginia , , @virginia.edu Abstract Several common leakage current reduction methods such

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

EE247 Lecture 26. EE247 Lecture 26

EE247 Lecture 26. EE247 Lecture 26 EE247 Lecture 26 Administrative EE247 Final exam: Date: Mon. Dec. 18 th Time: 12:30pm-3:30pm Location: 241 Cory Hall Extra office hours: Thurs. Dec. 14 th, 10:30am-12pm Closed book/course notes No calculators/cell

More information

Advanced Analog Integrated Circuits. Precision Techniques

Advanced Analog Integrated Circuits. Precision Techniques Advanced Analog Integrated Circuits Precision Techniques Bernhard E. Boser University of California, Berkeley boser@eecs.berkeley.edu Copyright 2016 by Bernhard Boser 1 Topics Offset Drift 1/f Noise Mismatch

More information

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer Mohit Arora The Art of Hardware Architecture Design Methods and Techniques for Digital Circuits Springer Contents 1 The World of Metastability 1 1.1 Introduction 1 1.2 Theory of Metastability 1 1.3 Metastability

More information

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2 ISSN 2277-2685 IJESR/October 2014/ Vol-4/Issue-10/682-687 Thota Keerthi et al./ International Journal of Engineering & Science Research DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN

More information

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible A Forward-Body-Bias Tuned 450MHz Gm-C 3 rd -Order Low-Pass Filter in 28nm UTBB FD-SOI with >1dBVp IIP3 over a 0.7-to-1V Supply Joeri Lechevallier 1,2, Remko Struiksma 1, Hani Sherry 2, Andreia Cathelin

More information

FUNDAMENTALS OF ANALOG TO DIGITAL CONVERTERS: PART I.1

FUNDAMENTALS OF ANALOG TO DIGITAL CONVERTERS: PART I.1 FUNDAMENTALS OF ANALOG TO DIGITAL CONVERTERS: PART I.1 Many of these slides were provided by Dr. Sebastian Hoyos January 2019 Texas A&M University 1 Spring, 2019 Outline Fundamentals of Analog-to-Digital

More information

Lecture 10, ANIK. Data converters 2

Lecture 10, ANIK. Data converters 2 Lecture, ANIK Data converters 2 What did we do last time? Data converter fundamentals Quantization noise Signal-to-noise ratio ADC and DAC architectures Overview, since literature is more useful explaining

More information

SpringerBriefs in Electrical and Computer Engineering

SpringerBriefs in Electrical and Computer Engineering SpringerBriefs in Electrical and Computer Engineering More information about this series at http://www.springer.com/series/10059 David Fouto Nuno Paulino Design of Low Power and Low Area Passive Sigma

More information

A 130mW 100MS/s Pipelined ADC with 69dB SNDR Enabled by Digital Harmonic Distortion Correction. Andrea Panigada, Ian Galton

A 130mW 100MS/s Pipelined ADC with 69dB SNDR Enabled by Digital Harmonic Distortion Correction. Andrea Panigada, Ian Galton A 130mW 100MS/s Pipelined ADC with 69dB SNDR Enabled by Digital Harmonic Distortion Correction Andrea Panigada, Ian Galton University of California at San Diego, La Jolla, CA INTEGRATED SIGNAL PROCESSING

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

Lecture 9, ANIK. Data converters 1

Lecture 9, ANIK. Data converters 1 Lecture 9, ANIK Data converters 1 What did we do last time? Noise and distortion Understanding the simplest circuit noise Understanding some of the sources of distortion 502 of 530 What will we do today?

More information

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology Research Paper American Journal of Engineering Research (AJER) e-issn : 2320-0847 p-issn : 2320-0936 Volume-3, Issue-9, pp-15-19 www.ajer.org Open Access Design of a Low Voltage low Power Double tail comparator

More information

Abstract Abstract approved:

Abstract Abstract approved: AN ABSTRACT OF THE DISSERTATION OF Taehwan Oh for the degree of Doctor of Philosophy in Electrical and Computer Engineering presented on May 29, 2013. Title: Power Efficient Analog-to-Digital Converters

More information

High Speed Flash Analog to Digital Converters

High Speed Flash Analog to Digital Converters ECE 551, Analog Integrated Circuit Design, High Speed Flash ADCs, Dec 2005 1 High Speed Flash Analog to Digital Converters Alireza Mahmoodi Abstract Flash analog-to-digital converters, also known as parallel

More information

Reducing Transistor Variability For High Performance Low Power Chips

Reducing Transistor Variability For High Performance Low Power Chips Reducing Transistor Variability For High Performance Low Power Chips HOT Chips 24 Dr Robert Rogenmoser Senior Vice President Product Development & Engineering 1 HotChips 2012 Copyright 2011 SuVolta, Inc.

More information