Variability mitigation techniques in nanometer CMOS technologies. Dídac Gómez, Joan Mauricio, Diego Mateo, Francesc Moll

Size: px
Start display at page:

Download "Variability mitigation techniques in nanometer CMOS technologies. Dídac Gómez, Joan Mauricio, Diego Mateo, Francesc Moll"

Transcription

1 Variability mitigation techniques in nanometer CMOS technologies Dídac Gómez, Joan Mauricio, Diego Mateo, Francesc Moll

2 Research framework ENIAC project MODERN ( ) 27 European institutions (Industry and Research) 12M budget ENIAC JU MICINN PLE (Spain institutions)

3 Outline Introduction Variability in nanometer CMOS Adaptive digital circuits Thermal monitoring and control of RF blocks Conclusions

4 Nobody s perfect

5 The good news chart Frank Schwierz - Nature Nanotechnology 5, (2010)

6 Introduction: Sources of Variability Proximity Variation of chip mean Spatial Parameter means (LG, VT, tox) Reversible Environmental operating temperature Activity factor Temporal Irreversible Hot-electron effect NBTI shift Within-chip variation Pattern-density Layout-induced Variations On-die hot spots Hot-spotenhanced NBTI Device-to-device variation Atomistic dopant Variation Line-edge roughness Parameter std. dev. SOI body history Self heating Source: Bernstein IBM R&D journal rvt-nbti (NBTI-induced VT distribution)

7 Technology evolution driven by variability M Bohr (Intel)

8 Lithography gap Since 0.35um technology the wavelength is larger than the critical dimension. Since 90nm node the wave length is stagnating. Source: Mentor graphics 193nm 45nm 32nm 20nm

9 Random fluctuations Stochastic variations of physical parameters Random dopant Line Edge Roughness (LER) A. Asenov et al., Simulation of Intrinsic Parameter Fluctuations in Decananometer and Nanometer-Scale MOSFETs, TED, 2003.

10 Effect of PV Source: Keith Bowman, Intel

11 Adaptive circuits Variations are unavoidable or very expensive to minimize Adaptive circuits try to correct deviations at runtime Given a sensed magnitude of a circuit (delay or power), it can be adjusted to its nominal value. Digital circuits: Body Biasing (BB) and Voltage Scaling (VS) can be used in order to control variability. AMS&RF: voltage bias control.

12 Challenges for digital Correlation between different magnitude variation Reducing one may increase the other Objective: Study which sensing strategy gives the best overall variability reduction. Collateral effects between delay, leakage and dynamic power variability reduction are studied.

13 Variability Analysis Simultaneous Variation of Device Parameters: 250 Monte Carlo simulations are done using an 8-Bit Ripple Carry Adder as testbench (65nm) : Value ±3σ Delay measure Dynamic power measure Leakage power measure 250 samples of static power, dynamic power and delay are obtained. L ±20% Tox ±10% Wp, Wn ±5%, ±8.5% Nsub ±10% Temp 80ºC ±50% Vdd ±10%

14 Variability Analysis Corr. Coef. = Bit Ripple-Carry Adder CDFs Huge variability. 25% of samples with > 2x leakage. Corr. Coef. = 0.62 Normal distribution. Corr. Coef. = 0.70 Samples ranged between 0.7x and 1.3x

15 Effect of Adaptive techniques (VS/BB) on performance parameters

16 Variability reduction with different sensors Four sensing strategies are proposed: Single sensors: Delay. Static Power (leakage current). Dynamic Power. Dual sensor: Delay + Dynamic Power.

17 Variability reduction with different sensors Algorithm: Sample VS = 1.2 V BB = 0 V Leakage Power Dyn. Power Delay Delay + Dyn. P. Measure Apply VS, BB Yes Estimate new VS, BB BB, VS changed? No END Parameter Nominal Min. Max. BB 0 V -0.9 V 0.5 V VS 1.2 V 0.8 V 1.5 V

18 Variability reduction with different sensors 8-Bit Ripple-Carry Adder CDFs Delay variability has the highest correlation with leakage (62%) and dynamic power (70%). Using delay sensors, the overall variability is reduced. No Sensor Leakage Dynamic Delay Dual

19 Thermal monitoring for AMS&RF Thermal testing overview Temperature is a low pass filtered version of electrical magnitudes. Joule effect behaves as an electrical mixer, downconverting information to DC or low frequencies. Temperature couples through the common substrate-->non-invasive

20 Dynamic thermal testing Requires single or multitone excitation. Exploits mixing properties of Joule effect

21 Static thermal testing Exploits correlation between transistor transconductance (DC) and figures of merit. It doesn t need signal excitation.

22 Thermal feedback to correct deviations Exploits the capability of thermal measurements to Embed a CUT inside a negative feedback loop. In this way, circuit variations can be compensated with temperature measurements.

23 Conclusions In digital circuits, define proper adaptive strategy Type of sensors Knob (VS, BB) control algorithm Granularity (core, IP block ) In RF, thermal is a good option Hi freq magnitudes downconverted to measurable temperature Natural analog control to adaptation Experimental work in progress digital (VCDL) circuits in 40nm RF in 65nm

24 Papers J. Mauricio, F. Moll, J. Altet, Monitor strategies for variability reduction considering correlation between power and timing variability, System on Chip Conference (SOCC), Taipei, September J. Altet, D. Gómez, C. Dufis, J.L. González, D. Mateo, X. Aragonés, F. Moll, A. Rubio On Evaluating Temperature as Observable for CMOS Technology Variability, First European Workshops on CMOS Variability (VARI2010), May,Montpellier (France). M. Onabajo, D. Gómez, E. Aldrete-Vidrio, J. Altet, D. Mateo, and J. Silva-Martinez, Survey of robustness enhancement techniques for wireless systems-on-a-chip and study of temperature as observable for process variations, Springer J. Electronic Testing: Theory and Applications, vol. 27, no.3,pp ,june 2011 D.Gomez, C. Dufis, J.Altet, D.Mateo, J. L. Gonzalez, Electro-thermal coupling analysis methodology for RF circuits,accepted for publication in Elsevier Microelectronics Journal, doi: /j.mejo D.Gómez,J.Altet,D.Mateo, On the use of static temperature measurements as process variation observable, accepted for publication in Springer J. Electronic Testing: Theory and Applications

25 Patents Didac Gómez Salinas,Diego Mateo Peña,Josep Altet Sanahujes, PROCEDIMIENTO PARA LA ESTIMACIÓN DE CARACTERÍSTICAS ELÉCTRICAS DE UN CIRCUITO ANALÓGICO MEDIANTE LA MEDICIÓN EN CONTINUA DE TEMPERATURA, Spanish patent pending P Diego Mateo Peña,Josep Altet Sanahujes,Didac Gómez Salinas, CIRCUITO ELECTRÓNICO CON MAGNITUD ELÉCTRICA DE SALIDA DEPENDIENTE DE LA DIFERENCIA DE TENSIÓN DE DOS NODOS DE ENTRADA Y DE LA DIFERENCIA DE TEMPERATURA DE DOS DE SUS DISPOSITIVOS, Spanish patent pending P Diego Mateo Peña,Josep Altet Sanahujes,Didac Gómez Salinas, PROCEDIMIENTO PARA LA MEDICIÓN DE LA EFICIENCIA DE AMPLIFICADORES DE POTENCIA INTEGRADOS LINEALES CLASE A UTILIZANDO MEDICIONES DE TEMPERATURA EN CONTINUA, Spanish patent pending P

26 Thank you! Contact:

Pushing Ultra-Low-Power Digital Circuits

Pushing Ultra-Low-Power Digital Circuits Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era David Bol Microelectronics Laboratory Ph.D public defense December 16, 2008 Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era

More information

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays,

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays, EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture 8: Gate delays, Variability Announcements Project proposals due today Title Team members ½ page ~5 references Post it on your EECS web page

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION 1.1 Historical Background Recent advances in Very Large Scale Integration (VLSI) technologies have made possible the realization of complete systems on a single chip. Since complete

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random 45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11 Process-induced Variability I: Random Random Variability Sources and Characterization Comparisons of Different MOSFET

More information

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Microelectronics Journal 39 (2008) 1714 1727 www.elsevier.com/locate/mejo Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Ranjith Kumar, Volkan Kursun Department

More information

Lecture 17: Process Variations. Changes in characteristics of devices and wires. Caused by IC manufacturing process & wear-out (electromigration).

Lecture 17: Process Variations. Changes in characteristics of devices and wires. Caused by IC manufacturing process & wear-out (electromigration). EE24 - Spring 2005 Advanced Digital Integrated Circuits Lecture 7: Process Variations Variability Sources Physical Changes in characteristics of devices and wires. Caused by IC manufacturing process &

More information

Bridging the Gap between Dreams and Nano-Scale Reality

Bridging the Gap between Dreams and Nano-Scale Reality Bridging the Gap between Dreams and Nano-Scale Reality Ban P. Wong Design Methodology, Chartered Semiconductor wongb@charteredsemi.com 28 July 2006 Outline Deficiencies in Boolean-based Design Rules in

More information

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop)

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) March 2016 DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) Ron Newhart Distinguished Engineer IBM Corporation March 19, 2016 1 2016 IBM Corporation Background

More information

Electro-thermal characterization of a differential temperature sensor in a 65 nm CMOS IC: Applications to gain monitoring in RF amplifiers

Electro-thermal characterization of a differential temperature sensor in a 65 nm CMOS IC: Applications to gain monitoring in RF amplifiers Electro-thermal characterization of a differential temperature sensor in a 65 nm CMOS IC: Applications to gain monitoring in RF amplifiers Josep Altet a,n, José Luis González c, Dídac Gomez a,1, Xavier

More information

induced Aging g Co-optimization for Digital ICs

induced Aging g Co-optimization for Digital ICs International Workshop on Emerging g Circuits and Systems (2009) Leakage power and NBTI- induced Aging g Co-optimization for Digital ICs Yu Wang Assistant Prof. E.E. Dept, Tsinghua University, China On-going

More information

VARIATION-TOLERANT MOTION ESTIMATION ARCHITECTURE. Girish V. Varatkar and Naresh R. Shanbhag

VARIATION-TOLERANT MOTION ESTIMATION ARCHITECTURE. Girish V. Varatkar and Naresh R. Shanbhag VARIATION-TOLERANT MOTION ESTIMATION ARCHITECTURE Girish V. Varatkar and Naresh R. Shanbhag Coordinated Science Laboratory/ECE Department University of Illinois at Urbana-Champaign 138 W Main St., Urbana

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs 1 Outline Variations Process, supply voltage, and temperature

More information

Performance Modeling, Parameter Extraction Technique and Statistical Modeling of Nano-scale MOSFET for VLSI Circuit Simulation

Performance Modeling, Parameter Extraction Technique and Statistical Modeling of Nano-scale MOSFET for VLSI Circuit Simulation Performance Modeling, Parameter Extraction Technique and Statistical Modeling of Nano-scale MOSFET for VLSI Circuit Simulation Dr. Soumya Pandit Institute of Radio Physics and Electronics University of

More information

CMOS Scaling and Variability

CMOS Scaling and Variability WIMNACT WS & IEEE EDS Mini-colloquim on Nano-CMOS Technology January 3, 212, TITECH, Japan CMOS Scaling and Variability 212. 1. 3 NEC Tohru Mogami WIMNACT WS 212, January 3, Titech 1 Acknowledgements I

More information

IOLTS th IEEE International On-Line Testing Symposium

IOLTS th IEEE International On-Line Testing Symposium IOLTS 2018 24th IEEE International On-Line Testing Symposium Exp. comparison and analysis of the sensitivity to laser fault injection of CMOS FD-SOI and CMOS bulk technologies J.M. Dutertre 1, V. Beroulle

More information

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation Maziar Goudarzi, Tohru Ishihara, Hiroto Yasuura System LSI Research Center Kyushu

More information

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1 DATE 2006 Special Session: DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations in Digital, Analog and Mixed-Signal Circuit Design DATE 06 Munich, March 8th, 2006 Presenter

More information

STATISTICAL DESIGN AND YIELD ENHANCEMENT OF LOW VOLTAGE CMOS ANALOG VLSI CIRCUITS

STATISTICAL DESIGN AND YIELD ENHANCEMENT OF LOW VOLTAGE CMOS ANALOG VLSI CIRCUITS STATISTICAL DESIGN AND YIELD ENHANCEMENT OF LOW VOLTAGE CMOS ANALOG VLSI CIRCUITS Istanbul Technical University Electronics and Communications Engineering Department Tuna B. Tarim Prof. Dr. Hakan Kuntman

More information

Microphotonics Readiness for Commercial CMOS Manufacturing. Marco Romagnoli

Microphotonics Readiness for Commercial CMOS Manufacturing. Marco Romagnoli Microphotonics Readiness for Commercial CMOS Manufacturing Marco Romagnoli MicroPhotonics Consortium meeting MIT, Cambridge October 15 th, 2012 Passive optical structures based on SOI technology Building

More information

IFSIN. WEB PAGE Fall ://weble.upc.es/ifsin/

IFSIN. WEB PAGE   Fall ://weble.upc.es/ifsin/ IFSIN IMPLEMENTACIÓ FÍSICA DE SISTEMES INTEGRATS NANOMÈTRICS IMPLEMENTACIÓN N FÍSICA F DE SISTEMAS INTEGRADOS NANOMÉTRICOS PHYSICAL IMPLEMENTATION OF NANOMETER INTEGRATED SYSTEMS Fall 2008 Prof. Xavier

More information

System-on-Chip. Electro-thermal effects in radio ICs. Overview. Tri-band GSM radio receiver (front-end)

System-on-Chip. Electro-thermal effects in radio ICs. Overview. Tri-band GSM radio receiver (front-end) System-on-Chip Overview Pietro Andreani Dept. of Electrical and Information Technology Lund University, Sweden Material from Sensitivity Degradation in a Tri-Band GSM BiCMOS Direct-Conversion Receiver

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies Low-Power and Process Variation Tolerant Memories in sub-9nm Technologies Saibal Mukhopadhyay, Swaroop Ghosh, Keejong Kim, and Kaushik Roy Dept. of ECE, Purdue University, West Lafayette, IN, @ecn.purdue.edu

More information

Statistical Static Timing Analysis Technology

Statistical Static Timing Analysis Technology Statistical Static Timing Analysis Technology V Izumi Nitta V Toshiyuki Shibuya V Katsumi Homma (Manuscript received April 9, 007) With CMOS technology scaling down to the nanometer realm, process variations

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b.

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. a PGMICRO, Federal University of Rio Grande do Sul, Porto Alegre, Brazil b Institute

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements Sign up for Piazza if you haven t already 2 1 Assigned Reading R.H.

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Muralidharan Venkatasubramanian Auburn University vmn0001@auburn.edu Vishwani D. Agrawal Auburn University vagrawal@eng.auburn.edu

More information

Study of Transistor Mismatch in Differential Amplifier at 32 nm CMOS Technology

Study of Transistor Mismatch in Differential Amplifier at 32 nm CMOS Technology 109 Study of Transistor Mismatch in Differential Amplifier at 32 nm CMOS Technology V.S.Raju Mandapati 1, Nishanth P V 2 and Roy Paily 3 1 Dept of Electronics and Communication Engineering Indian Institute

More information

Low Power Design in VLSI

Low Power Design in VLSI Low Power Design in VLSI Evolution in Power Dissipation: Why worry about power? Heat Dissipation source : arpa-esto microprocessor power dissipation DEC 21164 Computers Defined by Watts not MIPS: µwatt

More information

Extreme Temperature Invariant Circuitry Through Adaptive DC Body Biasing

Extreme Temperature Invariant Circuitry Through Adaptive DC Body Biasing Extreme Temperature Invariant Circuitry Through Adaptive DC Body Biasing W. S. Pitts, V. S. Devasthali, J. Damiano, and P. D. Franzon North Carolina State University Raleigh, NC USA 7615 Email: wspitts@ncsu.edu,

More information

Reliability and Yield of MOS Devices and Circuits. Prof Gilson Wirth UFRGS - Porto Alegre, Brazil

Reliability and Yield of MOS Devices and Circuits. Prof Gilson Wirth UFRGS - Porto Alegre, Brazil Reliability and Yield of MOS Devices and Circuits Prof Gilson Wirth UFRGS - Porto Alegre, Brazil This set of slides is a summary of the lecture. The lecture may be tailored to the needs and interest of

More information

Reliability and Energy Dissipation in Ultra Deep Submicron Designs

Reliability and Energy Dissipation in Ultra Deep Submicron Designs Reliability and Energy Dissipation in Ultra Deep Submicron Designs 5/19/2005 page 1 Reliability and Energy Dissipation in Ultra Deep Submicron Designs Frank Sill 31 th March 2005 5/19/2005 page 2 Outline

More information

A RESISTORLESS SWITCHED BANDGAP REFERENCE TOPOLOGY

A RESISTORLESS SWITCHED BANDGAP REFERENCE TOPOLOGY A RESISTORLESS SWITCHED BANDGAP REFERENCE TOPOLOGY Hamilton Klimach, Moacir F. C. Monteiro Arthur L. T. Costa, Sergio Bampi Graduate Program on Microelectronics Electrical Engineering Department & Informatics

More information

Reducing Transistor Variability For High Performance Low Power Chips

Reducing Transistor Variability For High Performance Low Power Chips Reducing Transistor Variability For High Performance Low Power Chips HOT Chips 24 Dr Robert Rogenmoser Senior Vice President Product Development & Engineering 1 HotChips 2012 Copyright 2011 SuVolta, Inc.

More information

METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS

METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS with Case Studies by Marc Pastre Ecole Polytechnique Fédérale

More information

Semiconductor Detector Systems

Semiconductor Detector Systems Semiconductor Detector Systems Helmuth Spieler Physics Division, Lawrence Berkeley National Laboratory OXFORD UNIVERSITY PRESS ix CONTENTS 1 Detector systems overview 1 1.1 Sensor 2 1.2 Preamplifier 3

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 9: Noise Sources Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 5 Report and Prelab 6 due Apr. 3 Stateye

More information

On-Chip Transistor Characterization Arrays with Digital Interfaces for Variability Characterization *

On-Chip Transistor Characterization Arrays with Digital Interfaces for Variability Characterization * On-Chip Transistor Characterization Arrays with Digital Interfaces for Variability Characterization * Simeon Realov, William McLaughlin, K. L. Shepard Department of Electrical Engineering, Columbia University

More information

Product Design Methodology

Product Design Methodology Product Design Methodology 2018 Tokyo Christophe Tretz, Carlos Mazure 1 SOI Industry Consortium 2018 Agenda SOI Industry Consortium SoC design approach Design considerations Conclusions 2 SOI Industry

More information

PV-PPV: Parameter Variability Aware, Automatically Extracted, Nonlinear Time-Shifted Oscillator Macromodels

PV-PPV: Parameter Variability Aware, Automatically Extracted, Nonlinear Time-Shifted Oscillator Macromodels PV-PPV: Parameter Variability Aware, Automatically Extracted, Nonlinear Time-Shifted Oscillator Macromodels Zhichun Wang, Xiaolue Lai and Jaijeet Roychowdhury Dept of ECE, University of Minnesota, Twin

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Lecture 13. Technology Trends and Modeling Pitfalls: Transistors in the real world

Lecture 13. Technology Trends and Modeling Pitfalls: Transistors in the real world Lecture 13 Technology Trends and Modeling Pitfalls: Transistors in the real world Guest lecturer: Jared Zerbe Rambus Inc jared@rambus.com Copyright 2003 by Mark Horowitz 1 Overview CMOS technology trends

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Sumit Kumar Srivastavar 1, Er.Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology,

More information

Electrical Characterization of a Second-gate in a Silicon-on-Insulator Transistor

Electrical Characterization of a Second-gate in a Silicon-on-Insulator Transistor Electrical Characterization of a Second-gate in a Silicon-on-Insulator Transistor Antonio Oblea: McNair Scholar Dr. Stephen Parke: Faculty Mentor Electrical Engineering As an independent double-gate, silicon-on-insulator

More information

Test-Chip Structures for Local Random Variability Characterization in CMOS 65 nm

Test-Chip Structures for Local Random Variability Characterization in CMOS 65 nm SIM 2011 26 th South Symposium on Microelectronics 209 Test-Chip Structures for Local Random Variability Characterization in CMOS 65 nm 1 Felipe Correa Werle, 2 Juan Pablo Martinez Brito, 1,2 Sergio Bampi

More information

Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy

Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy 1 IC Failure Modes Affecting Reliability Via/metallization failure mechanisms Electro migration Stress migration Transistor

More information

Jan Rabaey, «Low Powere Design Essentials," Springer tml

Jan Rabaey, «Low Powere Design Essentials, Springer tml Jan Rabaey, «e Design Essentials," Springer 2009 http://web.me.com/janrabaey/lowpoweressentials/home.h tml Dimitrios Soudris, Christian Piguet, and Costas Goutis, Designing CMOS Circuits for Low POwer,

More information

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 Lecture 10: Electroabsorption Modulator Transmitters Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

Deep Trench Capacitors for Switched Capacitor Voltage Converters

Deep Trench Capacitors for Switched Capacitor Voltage Converters Deep Trench Capacitors for Switched Capacitor Voltage Converters Jae-sun Seo, Albert Young, Robert Montoye, Leland Chang IBM T. J. Watson Research Center 3 rd International Workshop for Power Supply on

More information

A Highly-efficacious Self-compensation Means to Reduce Variations due to Bending

A Highly-efficacious Self-compensation Means to Reduce Variations due to Bending A Highly-efficacious Self-compensation Means to Reduce Variations due to Bending Outline Need for Bending Variations of TFTs, Passives and Circuits when bent Mitigation of variations due to bending Reported

More information

Ruixing Yang

Ruixing Yang Design of the Power Switching Network Ruixing Yang 15.01.2009 Outline Power Gating implementation styles Sleep transistor power network synthesis Wakeup in-rush current control Wakeup and sleep latency

More information

Course Content. Course Content. Course Format. Low Power VLSI System Design Lecture 1: Introduction. Course focus

Course Content. Course Content. Course Format. Low Power VLSI System Design Lecture 1: Introduction. Course focus Course Content Low Power VLSI System Design Lecture 1: Introduction Prof. R. Iris Bahar E September 6, 2017 Course focus low power and thermal-aware design digital design, from devices to architecture

More information

Lecture 6. Technology Trends and Modeling Pitfalls: Transistors in the real world

Lecture 6. Technology Trends and Modeling Pitfalls: Transistors in the real world Lecture 6 Technology Trends and Modeling Pitfalls: Transistors in the real world Guest lecturer: Jared Zerbe Rambus Inc jared@rambus.com Copyright 2004 by Mark Horowitz Some Figures courtesy of C. Enz,

More information

Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices. 1

Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices. 1 Semiconductor Device & Analysis Center Berlin University of Technology Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices Christian.Boit@TU-Berlin.DE 1 Semiconductor Device

More information

MANY integrated circuit applications require a unique

MANY integrated circuit applications require a unique IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 1, JANUARY 2008 69 A Digital 1.6 pj/bit Chip Identification Circuit Using Process Variations Ying Su, Jeremy Holleman, Student Member, IEEE, and Brian

More information

Ridgetop Group, Inc.

Ridgetop Group, Inc. Ridgetop Group, Inc. Ridgetop Group Facilities in Tucson, AZ Arizona-based firm, founded in 2000, with focus on electronics for critical applications Two divisions: Semiconductor & Precision Instruments

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates

Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates Seyab Khan Said Hamdioui Abstract Bias Temperature Instability (BTI) and parameter variations are threats to reliability

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose

Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose Kazutoshi Kobayashi Kyoto Institute of Technology Kyoto, Japan kazutoshi.kobayashi@kit.ac.jp

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

CMOS Process Variations: A Critical Operation Point Hypothesis

CMOS Process Variations: A Critical Operation Point Hypothesis CMOS Process Variations: A Critical Operation Point Hypothesis Janak H. Patel Department of Electrical and Computer Engineering University of Illinois at Urbana-Champaign jhpatel@uiuc.edu Computer Systems

More information

18-Mar-08. Lecture 5, Transistor matching and good layout techniques

18-Mar-08. Lecture 5, Transistor matching and good layout techniques Transistor mismatch & Layout techniques 1. Transistor mismatch its causes and how to estimate its magnitude 2. Layout techniques for good matching 3. Layout techniques to minimize parasitic effects Part

More information

Yield-driven Robust Iterative Circuit Optimization

Yield-driven Robust Iterative Circuit Optimization Yield-driven Robust Iterative Circuit Optimization Yan Li, Vladimir Stojanovic July 29, 2009 Integrated System Group Massachusetts Institute of Technology Systems-on-chip is difficult to design Integrated

More information

Analog and RF circuit techniques in nanometer CMOS

Analog and RF circuit techniques in nanometer CMOS Analog and RF circuit techniques in nanometer CMOS Bram Nauta University of Twente The Netherlands http://icd.ewi.utwente.nl b.nauta@utwente.nl UNIVERSITY OF TWENTE. Outline Introduction Balun-LNA-Mixer

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective

Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective S. P. Mohanty, R. Velagapudi and E. Kougianos Dept of Computer Science and Engineering University of North Texas

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

CMOS fast-settling time low pass filter associated with voltage reference and current limiter for low dropout regulator

CMOS fast-settling time low pass filter associated with voltage reference and current limiter for low dropout regulator CMOS fast-settling time low pass filter associated with voltage reference and current limiter for low dropout regulator Wonseok Oh a), Praveen Nadimpalli, and Dharma Kadam RF Micro Devices Inc., 6825 W.

More information

Process Variability and the SUPERAID7 Approach

Process Variability and the SUPERAID7 Approach Process Variability and the SUPERAID7 Approach Jürgen Lorenz Fraunhofer Institut für Integrierte Systeme und Bauelementetechnologie IISB, Erlangen, Germany ESSDERC/ ESSCIRC Workshop Process Variations

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

Leakage Current in Low Standby Power and High Performance Devices: Trends and Challenges

Leakage Current in Low Standby Power and High Performance Devices: Trends and Challenges Leakage Current in Low Standby Power and High Performance Devices: Trends and Challenges (Invited Paper) Geoffrey C-F Yeap Motorola Inc., DigitalDNA Laboratories, 3501 Ed Bluestein Blvd., MD: K10, Austin,

More information

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI A.Karthik 1, K.Manasa 2 Assistant Professor, Department of Electronics and Communication Engineering, Narsimha Reddy Engineering

More information

Static Performance Analysis of Low Power SRAM

Static Performance Analysis of Low Power SRAM IJCSNS International Journal of Computer Science and Network Security, VOL.10 No.5, May 2010 189 Static Performance Analysis of Low Power SRAM Mamatha Samson Center for VLSI and Embedded System Technologies,

More information

FULLY- DEPLETED SOI CMOS CIRCUITS AND TECHNOLOGY FOR ULTRALOW- POWER APPLICATIONS

FULLY- DEPLETED SOI CMOS CIRCUITS AND TECHNOLOGY FOR ULTRALOW- POWER APPLICATIONS FULLY- DEPLETED SOI CMOS CIRCUITS AND TECHNOLOGY FOR ULTRALOW- POWER APPLICATIONS Takayasu Sakurai University of Tokyo Akira Matsuzawa Tokyo Institute of Technology and Takakuni Douseki NTT Corporation

More information

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology OUTLINE Understanding Fabrication Imperfections Layout of MOS Transistor Matching Theory and Mismatches Device Matching, Interdigitation

More information

CMOS RFIC Design for Direct Conversion Receivers. Zhaofeng ZHANG Supervisor: Dr. Jack Lau

CMOS RFIC Design for Direct Conversion Receivers. Zhaofeng ZHANG Supervisor: Dr. Jack Lau CMOS RFIC Design for Direct Conversion Receivers Zhaofeng ZHANG Supervisor: Dr. Jack Lau Outline of Presentation Background Introduction Thesis Contributions Design Issues and Solutions A Direct Conversion

More information

Separation of Effects of Statistical Impurity Number Fluctuations and Position Distribution on V th Fluctuations in Scaled MOSFETs

Separation of Effects of Statistical Impurity Number Fluctuations and Position Distribution on V th Fluctuations in Scaled MOSFETs 1838 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 47, NO. 10, OCTOBER 2000 Separation of Effects of Statistical Impurity Number Fluctuations and Position Distribution on V th Fluctuations in Scaled MOSFETs

More information

THRESHOLD VOLTAGE CONTROL SCHEMES

THRESHOLD VOLTAGE CONTROL SCHEMES THRESHOLD VOLTAGE CONTROL SCHEMES IN FINFETS V. Narendar 1, Ramanuj Mishra 2, Sanjeev Rai 3, Nayana R 4 and R. A. Mishra 5 Department of Electronics & Communication Engineering, MNNIT-Allahabad Allahabad-211004,

More information

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions. Introduction - Chapter 1 Evolution of IC Fabrication 1960 and 1990 integrated t circuits. it Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity

More information

SiNANO-NEREID Workshop:

SiNANO-NEREID Workshop: SiNANO-NEREID Workshop: Towards a new NanoElectronics Roadmap for Europe Leuven, September 11 th, 2017 WP3/Task 3.2 Connectivity RF and mmw Design Outline Connectivity, what connectivity? High data rates

More information

UNIT-1 Fundamentals of Low Power VLSI Design

UNIT-1 Fundamentals of Low Power VLSI Design UNIT-1 Fundamentals of Low Power VLSI Design Need for Low Power Circuit Design: The increasing prominence of portable systems and the need to limit power consumption (and hence, heat dissipation) in very-high

More information

Characterization and Variation Modeling for 22FDX. Ning Jin Digital Design Methodology Team

Characterization and Variation Modeling for 22FDX. Ning Jin Digital Design Methodology Team Characterization and Variation Modeling for 22FDX Ning Jin Digital Design Methodology Team Agenda 1 2 3 4 Introduction to 22FDX Technology Library Characterization in Liberate and Variety Library Characterization

More information

Ramya Srinivasan GLOBALFOUNDRIES 22FDX: Tempus Body-Bias Interpolation QoR. April

Ramya Srinivasan GLOBALFOUNDRIES 22FDX: Tempus Body-Bias Interpolation QoR. April Ramya Srinivasan GLOBALFOUNDRIES 22FDX: Tempus Body-Bias Interpolation QoR April 12 2017 22FDX: Tempus Body-Bias Interpolation QoR Presenter: Ramya Srinivasan Authors GLOBALFOUNDRIES: Haritez Narisetty

More information

ELEN6350. Summary: High Dynamic Range Photodetector Hassan Eddrees, Matt Bajor

ELEN6350. Summary: High Dynamic Range Photodetector Hassan Eddrees, Matt Bajor ELEN6350 High Dynamic Range Photodetector Hassan Eddrees, Matt Bajor Summary: The use of image sensors presents several limitations for visible light spectrometers. Both CCD and CMOS one dimensional imagers

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

Active Technology for Communication Circuits

Active Technology for Communication Circuits EECS 242: Active Technology for Communication Circuits UC Berkeley EECS 242 Copyright Prof. Ali M Niknejad Outline Comparison of technology choices for communication circuits Si npn, Si NMOS, SiGe HBT,

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES R. C Ismail, S. A. Z Murad and M. N. M Isa School of Microelectronic Engineering, Universiti Malaysia Perlis, Arau, Perlis, Malaysia

More information

EE5324. VLSI Design II

EE5324. VLSI Design II EE5324 VLSI Design II Professor Chris H. Kim University of Minnesota Dept. of ECE www.umn.edu/~chriskim/ chriskim@umn.edu Practical Information Class webpage http://www.ece.umn.edu/class/ee5324 Instructor:

More information

Effect of Aging on Power Integrity of Digital Integrated Circuits

Effect of Aging on Power Integrity of Digital Integrated Circuits Effect of Aging on Power Integrity of Digital Integrated Circuits A. Boyer, S. Ben Dhia Alexandre.boyer@laas.fr Sonia.bendhia@laas.fr 1 May 14 th, 2013 Introduction and context Long time operation Harsh

More information

Analysis and Design of Low Power Ring Oscillators with Frequency ~ khz

Analysis and Design of Low Power Ring Oscillators with Frequency ~ khz Analysis and Design of Low Power Ring Oscillators with Frequency ~10-100 khz PRESENTED BY: PIYUSH KESHRI 3 rd year Undergraduate Student Indian Institute Of Technology, Kanpur, India University Of Michigan

More information