Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1

Size: px
Start display at page:

Download "Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1"

Transcription

1 DATE 2006 Special Session: DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations in Digital, Analog and Mixed-Signal Circuit Design DATE 06 Munich, March 8th, 2006 Presenter Dept Titel presentation Date Page 1

2 Outline Trouble in Chipmaker s Paradise Mismatch Impact on Circuit Design for Advanced Technologies Circuit Simulation and Yield Optimization Methods History of Requirements from Circuit Designers Sizing and Yield-Optimization Flow Inway 5.x/Powerflow Summary and Outlook Page 2

3 The Major Drivers of Chip Design Performance Yield Power Chip Design Time-to-Market Area Page 3 thanks to E. Barke

4 Trouble in Chipmaker s Paradise Data from 4 logic companies show initial yield has not improved from the 0.5 µm to 180 nm generation Yield learning rates also have not improved and mature yields have declined Page 4 Thanks to Uwe Gäbler

5 Trouble in Chipmaker s Paradise: Yield Ramp and Final Yields 1 0,9 0,8 0,7 Page 5 Yield [%] 0,6 0,5 0,4 0,3 0,2 0,1 250nm 250nm technology 180nm 180nm technology Initial yield decreasing 130nm 130nm technology nm < 90nm technology? time Yield history for several logic manufacturers Mature yield decreasing

6 Where We Have To Go High mature yield Yield [%] Higher initial yield Steeper ramp-up Page 6 Time [Months]

7 On-Chip Variation Technology Node 180 nm 130 nm 90 nm (to be validated) 65 nm (estimated) On-Chip- Variation (*) 8 13 % 22 % % % Page 7 (*) 3-σ limits of V th

8 Limits: Mismatch Impact on Circuit Design for Advanced Technologies σ Vth Local Variations Gate Area: minimum / relaxed (4fold min.) 3 Voltage Supply and Threshold Voltage 3 σ (Vth) [ mv ] Voltage [ V ] 2 1 Vdd / V Vth / V 0 250nm 180nm 130nm 90nm 65nm 0 250nm 180nm 130nm 90nm 65nm Technology Technology Page 8 Reduction of available voltage range Reduction (scaling) of transistor area Increasing transistor mismatch High effort to maintain circuit accuracy Thanks to Ulrich Schaper

9 Circuit Simulation and Yield Optimization Methods EDA Method Tools Complexity expenses & influence on design process; statistical background know how needed SPICE Models expenses & completeness of device models; Design Centering Special statistical Tools high high Monte-Carlo Simulation Sensitivity / Tolerance Analysis Worst- / Best-Corner Analysis Page 9 Nominal Simulation Analog Simulator low low Thanks to B. Lemaitre

10 Circuit Simulation and Yield Optimization Methods EDA Method Tools Complexity expenses & influence on design process; statistical background know how needed SPICE Models expenses & completeness of device models; Design Centering (parametric yield optimization) Monte-Carlo Simulation (simulation of parameter distributions local & global variations) Sensitivity / Tolerance Analysis (automatic or by discrete differences) Special Statistical Tools high parametric yield optimization process & circuit distributions process & circuit sensitivities; robust design high all MC models physical meaningful device models Page 10 Worst- / Best-Corner Analysis (distribution outside simulator) Nominal Simulation Analog Simulator low process & circuit margins; under- overestimation nominal point low worst case nominal Thanks to B. Lemaitre

11 Developments of Compact Models 1000 BSIM4v4 No. of Model Parameters earlyekv BSIM3v3 MM11v2 BSIM3v2 HiSIM BSIM2 HSP28 PSP BSIM3v3 BSIM BSIM3v2 BSIM4 BSIM2 PCIM HSP28 SP BSIM3v1 MM9 LEVEL2 BSIM EKV3 EKV2.6 LEVEL3 EKV LEVEL1 Including L,W,P scaling Without scaling Years Page 11 Number of DC model parameters vs. year of introduction of the model Significant growth of parameter number that includes geometry (W/L) scaling How can we handle the complexity (without tools)? Thanks to W. Grabinski

12 History of Requirements from Circuit Designers (cont.) Corner analysis not suited for analog behavior and mismatch-dominated effects Monte-Carlo analysis (without & with operating conditions) no information on how to tune design parameters to improve yield Contributor identification Note: Contributor identification does not necessarily describe the impact on yield! Restricted to statistical parameters (and not designables, operating parameters, bias-currents,...) Page 12

13 Monte Carlo: Effort of Yield Estimation Verification of a yield Y > Y min with 95% confidence: Y min 98% 99.9% % 1 Y min 2% 0.1% 0.003% β w 2σ 3σ 4σ Rem.: for 99% confidence, about 1.7 N simulations are needed N # Simulations 10 Mrd 100 Mio 1 Mio N c 2 / (1 Y min ) β w Page 13 Better methods needed for very robust circuits: Deterministic tolerance analysis and worst-case points Importance sampling / stratified sampling MunEDA GmbH

14 DfM: Why Non-Monte-Carlo-Methods? Cost Comparison: Yield Analysis with WCDs vs. Monte-Carlo 10 Mrd Highly-robust circuits Basics MC WCD Sizing Apps Page 14 # Simulations 100 Mio 1 Mio Note: 3σ means that for a 100Mio 100 transistor design (!!!) transistors may fail! Monte-Carlo Yield analysis using WCDs % 99.9% % Advantage Worst-Case-Distances (WCDs): More efficient and accurate than MC for yield > 3σ (99,9%) often asked for β w resp. σ MunEDA GmbH

15 History of Requirements from Circuit Designers (cont.) Page 15 Corner analysis not suited for analog behavior and mismatch-dominated effects Monte-Carlo analysis (without & with operating conditions) no information on how to tune design parameters to improve yield Contributor identification Note: Contributor identification does not necessarily describe the impact on yield! Restricted to statistical parameters (and not designables, operating parameters, bias-currents,...) Yield sensitivities Worst-case points, distances and circuit performance linearization Yield optimization Nominal sizing

16 Methodology Development (IFX-DfY & public R&D projects): Sizing and Yield-Optimization Flow Inway 5.x/Powerflow Page 16 Constraints Part I: Schematic Preparation Constraints Part II: Testbench Setup Constraints Part III: Setup of Performance Extraction Constraints Part IV: Performance Specification and Sizing Constraints Backannotation Design Centering and Analysis Nominal Sizing and Analysis Statistical Setup for Mismatch and Process Parameters

17 Selected References from >200 WiCkeD Optimization Projects within Infineon RF Design, High-Speed Analog, High-Speed Digital, Automotive Power, Embedded Memory, Enhanced Digital Cell Library Modeling Application Field RF Design High-Speed Analog High-Speed Digital Automotive Power Circuit Design Task (IFX-Project) Coilless LNA for GSM Power supply for RF circuits RF input amplifiers (LNA) and comparators for) High-speed serial memory interface Bias chain for A/D conversion 4GHz Master-Slave Flip-Flop of Advanced Memory Buffer Interface Digital Carry Select Adder Comparator in SMART5 Technology Page 17 Embedded Memory Enh. Digital Cell Library Modeling 6T SRAM - 6sigma design Statistical static timing analysis for L90 logic (SSTA)

18 Testbench and Schematic of the Comparator Circuit Operating conditions: Performances: Supply Voltage U_LH: from 6.0 V to 7.0 V (nominal 6.6 V) Temperature Range: from 0 C to 80 C (nominal 27 C) Offset upper: 2 mv Offset lower: -2 mv Page MOSFETs, 2 bipolar transistors: Current Mirror Level Shifter Differential-pair

19 WiCkeD Reference Projects Design Problem & Consequences Solution & Results using WiCkeD Comparator circuit in SMART5 technology Initial design: Performances too low (offset, temperature sensitive, matching problem) Overall design yield: < 5% WiCkeD Using WiCkeD s optimization engines: Feasibility, Nominal Sizing and Yield Optimization Total setup & optimization time using 4 hosts: 3 hours Yield improvement after Nominal Optimization: <5% 78% Yield optimization with design centering: 78% 92,6% yield Verified with Monte-Carlo Significant performance improvements for offset, gain (35dB 57dB) and others Page 19 Yield ramp-up from <5% 92 % in 3 hours

20 Overview: Circuit Analysis and Optimization Steps Simulation Node (& MC: initial yield 5%) Feasibility Optimization: all constraints fulfilled Nominal Optimization: constraints and performances fulfilled & performances optimized Yield Optimization Monte-Carlo Analysis (yield verification) total yield = 78% Page 20 Monte-Carlo Analysis total yield = 92.6% Simulation with rounded design parameters

21 Design for [X] Umbrella - Df[X] Source: Semiconductor International, June 2005 DFY P DFY S DFY R DFR DFD DFT Page 21 Parametric Systematic Examples: Performance Leakage Misalignment... Random Reliability Example: Library optimization Diagnostics Test Example: Fault Coverage / Models

22 Yield as the 4 th Design Target Chip behavior in Face of Environmental and Manufacturing Variations Functional / Systematic Yield Yield Parametric (digital & analog) Yield Page 22 Functional Yield Analysis Critical Area, Printability Layout, design style & litho dependent performance DfM-Aware Physical Design Redundant via insertion Wire bending / spreading OPC (Optical proximity correction) / PSM (Phase Shift Mask) aware routing Layout Restrictions Transistor orientation restricted to improve manufacturing control Address Process and Environmental Variations Digital Statistical timing/power (SSTA) Timing aware OPC & PSM Statistical Physical Design Adaptive Chip design Analog Design Centering by Statistical Analog Simulation, Monte-Carlo & Non-Monte-Carlo (e.g. WiCkeD) Thanks to B. Lemaitre

23 Summary and Outlook Page 23 Monte-Carlo analysis is suited for yield estimation. Computational effort to ensure high yields is considerable enormous (is the better word) Extensions of MC-analysis allow for handling operating conditions as well as to perform a contributor identification Worst-Case methods are superior to MC-methods with respect to efficiency, post processing possibilities e.g. for design centering Structural constraints help to obtain better results from the optimization, especially e.g. robustness (performances w.r.t. variation of operating parameters) and computational effort for the optimization loop No push-button solution available, but we are working towards improvement of user-friendliness

24 Summary and Outlook Page 24 Design and technology are in equal measure responsible for yield. Close collaboration is more and more crucial for business success. Systematic yield loss is a big problem. Combined efforts of design and manufacturing groups are necessary for improvements here. First time right is essential. Don t throw a design over the fence and look if it works. Every carelessness will cost valuable ramp-up time. Yield maximization should have higher priority than pure cost optimization. Cost reduction measures are only effective in a mature situation. Simulator costs and resources: Methodology training mandatory & use your brain to avoid computational pain! (i.e. before you activate thousands of simulations) Thanks to R. Schledz

25 Outlook: Design Abstraction Levels Challenges for DfY SYSTEM + DfY methodology and tools future challenge MODULE Page 25 Digital SSTA and Analog/Mixed-Signal ( SABM ) S n+ G GATE CIRCUIT DfY methodology and tools available today DEVICE D n+

Abstract. 1. VLSI Design for Yield on Chip Level (M. Bühler, J. Koehl, J. Bickford, J. Hibbeler)

Abstract. 1. VLSI Design for Yield on Chip Level (M. Bühler, J. Koehl, J. Bickford, J. Hibbeler) DATE 2006 Special Session: DFM/DFY Design for Manufacturability and Yield - influence of process variations in digital, analog and mixed-signal circuit design Organizers: A. Ripp, MunEDA GmbH, Munich,

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

Reducing Transistor Variability For High Performance Low Power Chips

Reducing Transistor Variability For High Performance Low Power Chips Reducing Transistor Variability For High Performance Low Power Chips HOT Chips 24 Dr Robert Rogenmoser Senior Vice President Product Development & Engineering 1 HotChips 2012 Copyright 2011 SuVolta, Inc.

More information

Bridging the Gap between Dreams and Nano-Scale Reality

Bridging the Gap between Dreams and Nano-Scale Reality Bridging the Gap between Dreams and Nano-Scale Reality Ban P. Wong Design Methodology, Chartered Semiconductor wongb@charteredsemi.com 28 July 2006 Outline Deficiencies in Boolean-based Design Rules in

More information

PV-PPV: Parameter Variability Aware, Automatically Extracted, Nonlinear Time-Shifted Oscillator Macromodels

PV-PPV: Parameter Variability Aware, Automatically Extracted, Nonlinear Time-Shifted Oscillator Macromodels PV-PPV: Parameter Variability Aware, Automatically Extracted, Nonlinear Time-Shifted Oscillator Macromodels Zhichun Wang, Xiaolue Lai and Jaijeet Roychowdhury Dept of ECE, University of Minnesota, Twin

More information

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Amber Path FX is a trusted analysis solution for designers trying to close on power, performance, yield and area in 40 nanometer processes

More information

28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM

28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM 28nm and below: New Frontiers and Innovations in Design for Manufacturing Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM Outline Challenges Variability and the Limits of IC Geometrical Scaling Methodology

More information

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Jan. 28. 2011 Nobuyuki Nishiguchi Semiconductor Technology Advanced Research Center (STARC) ASP-DAC

More information

A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique

A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique 1 A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique Masaya Miyahara and Akira Matsuzawa Tokyo Institute of Technology, Japan 2 Outline Motivation Design Concept

More information

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs 1 Outline Variations Process, supply voltage, and temperature

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology OUTLINE Understanding Fabrication Imperfections Layout of MOS Transistor Matching Theory and Mismatches Device Matching, Interdigitation

More information

EKV Modeling Engineering Support

EKV Modeling Engineering Support EKV Modeling Engineering Support Developments of the Compact Models No. of Model Parameters 1000 100 10 earlyekv LEVEL1 BSIM3v3 MM11v2 BSIM3v2 HiSIM 2.4.0 BSIM2 HSP28 BSIM3v3 PSP BSIM BSIM3v2 BSIM4 BSIM2

More information

Control Synthesis and Delay Sensor Deployment for Efficient ASV designs

Control Synthesis and Delay Sensor Deployment for Efficient ASV designs Control Synthesis and Delay Sensor Deployment for Efficient ASV designs C H A O FA N L I < C H AO F @ TA M U. E D U >, T E X A S A & M U N I V E RS I T Y S A C H I N S. S A PAT N E K A R, U N I V E RS

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Yield-driven Robust Iterative Circuit Optimization

Yield-driven Robust Iterative Circuit Optimization Yield-driven Robust Iterative Circuit Optimization Yan Li, Vladimir Stojanovic July 29, 2009 Integrated System Group Massachusetts Institute of Technology Systems-on-chip is difficult to design Integrated

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

LSI Design Flow Development for Advanced Technology

LSI Design Flow Development for Advanced Technology LSI Design Flow Development for Advanced Technology Atsushi Tsuchiya LSIs that adopt advanced technologies, as represented by imaging LSIs, now contain 30 million or more logic gates and the scale is beginning

More information

MDLL & Slave Delay Line performance analysis using novel delay modeling

MDLL & Slave Delay Line performance analysis using novel delay modeling MDLL & Slave Delay Line performance analysis using novel delay modeling Abhijith Kashyap, Avinash S and Kalpesh Shah Backplane IP division, Texas Instruments, Bangalore, India E-mail : abhijith.r.kashyap@ti.com

More information

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies Low-Power and Process Variation Tolerant Memories in sub-9nm Technologies Saibal Mukhopadhyay, Swaroop Ghosh, Keejong Kim, and Kaushik Roy Dept. of ECE, Purdue University, West Lafayette, IN, @ecn.purdue.edu

More information

Manufacturing Characterization for DFM

Manufacturing Characterization for DFM Manufacturing Characterization for DFM 2006 SW DFT Conference Austin, TX Greg Yeric, Ph. D. Synopsys Outline What is DFM? Today? Tomorrow? Fab Characterization for DFM Information Goals General Infrastructure

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

DATASHEET CADENCE QRC EXTRACTION

DATASHEET CADENCE QRC EXTRACTION DATASHEET Cadence QRC Etraction, the industry s premier 3D fullchip parasitic etractor that is independent of design style or flow, is a fast and accurate RLCK etraction solution used during design implementation

More information

Improving Test Coverage and Eliminating Test Escapes Using Analog Defect Analysis

Improving Test Coverage and Eliminating Test Escapes Using Analog Defect Analysis Improving Test Coverage and Eliminating Test Escapes Using Analog Defect Analysis Art Schaldenbrand, Dr. Walter Hartong, Amit Bajaj, Hany Elhak, and Vladimir Zivkovic, Cadence While the analog and mixed-signal

More information

SUMMARY/DIALOGUE 2 PRESHAPE PIXEL OVERVIEW 3 BRIEF OPERATING INSTRUCTIONS 3 PRESHAPE PIXEL SIMULATION: EXAMPLE OPERATION 4 PRESHAPE PIXEL SIMULATION:

SUMMARY/DIALOGUE 2 PRESHAPE PIXEL OVERVIEW 3 BRIEF OPERATING INSTRUCTIONS 3 PRESHAPE PIXEL SIMULATION: EXAMPLE OPERATION 4 PRESHAPE PIXEL SIMULATION: SUMMARY/DIALOGUE 2 PRESHAPE PIXEL OVERVIEW 3 BRIEF OPERATING INSTRUCTIONS 3 PRESHAPE PIXEL SIMULATION: EXAMPLE OPERATION 4 PRESHAPE PIXEL SIMULATION: SMALL SIGNALS AROUND THRESHOLD 5 PRESHAPE PIXEL SIMULATION:

More information

Design of High Gain Two stage Op-Amp using 90nm Technology

Design of High Gain Two stage Op-Amp using 90nm Technology Design of High Gain Two stage Op-Amp using 90nm Technology Shaik Aqeel 1, P. Krishna Deva 2, C. Mahesh Babu 3 and R.Ganesh 4 1 CVR College of Engineering/UG Student, Hyderabad, India 2 CVR College of Engineering/UG

More information

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation Maziar Goudarzi, Tohru Ishihara, Hiroto Yasuura System LSI Research Center Kyushu

More information

An Improved Bandgap Reference (BGR) Circuit with Constant Voltage and Current Outputs

An Improved Bandgap Reference (BGR) Circuit with Constant Voltage and Current Outputs International Journal of Research in Engineering and Innovation Vol-1, Issue-6 (2017), 60-64 International Journal of Research in Engineering and Innovation (IJREI) journal home page: http://www.ijrei.com

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 9: Noise Sources Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 5 Report and Prelab 6 due Apr. 3 Stateye

More information

LOW COST PHASED ARRAY ANTENNA TRANSCEIVER FOR WPAN APPLICATIONS

LOW COST PHASED ARRAY ANTENNA TRANSCEIVER FOR WPAN APPLICATIONS LOW COST PHASED ARRAY ANTENNA TRANSCEIVER FOR WPAN APPLICATIONS Introduction WPAN (Wireless Personal Area Network) transceivers are being designed to operate in the 60 GHz frequency band and will mainly

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information

Radivoje Đurić, 2015, Analogna Integrisana Kola 1

Radivoje Đurić, 2015, Analogna Integrisana Kola 1 Low power OTA 1 Two-Stage, Miller Op Amp Operating in Weak Inversion Low frequency response: gm1 gm6 Av 0 g g g g A v 0 ds2 ds4 ds6 ds7 I D m, ds D nvt g g I n GB and SR: GB 1 1 n 1 2 4 6 6 7 g 2 2 m1

More information

Statistical Static Timing Analysis Technology

Statistical Static Timing Analysis Technology Statistical Static Timing Analysis Technology V Izumi Nitta V Toshiyuki Shibuya V Katsumi Homma (Manuscript received April 9, 007) With CMOS technology scaling down to the nanometer realm, process variations

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION 1.1 Historical Background Recent advances in Very Large Scale Integration (VLSI) technologies have made possible the realization of complete systems on a single chip. Since complete

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Lecture 10. Circuit Pitfalls

Lecture 10. Circuit Pitfalls Lecture 10 Circuit Pitfalls Intel Corporation jstinson@stanford.edu 1 Overview Reading Lev Signal and Power Network Integrity Chandrakasen Chapter 7 (Logic Families) and Chapter 8 (Dynamic logic) Gronowski

More information

Mixed Signal Virtual Components COLINE, a case study

Mixed Signal Virtual Components COLINE, a case study Mixed Signal Virtual Components COLINE, a case study J.F. POLLET - DOLPHIN INTEGRATION Meylan - FRANCE http://www.dolphin.fr Overview of the presentation Introduction COLINE, an example of Mixed Signal

More information

Measurement and Optimization of Electrical Process Window

Measurement and Optimization of Electrical Process Window Measurement and Optimization of Electrical Process Window Tuck-Boon Chan*, Abde Ali Kagalwalla, Puneet Gupta Dept. of EE, University of California Los Angeles (tuckie@ee.ucla.edu) Work partly supported

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS

WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS HOW TO MINIMIZE DESIGN MARGINS WITH ACCURATE ADVANCED TRANSISTOR DEGRADATION MODELS Reliability is a major criterion for

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

PAD: Procedural Analog Design Tool D. Stefanovic, M. Kayal, M. Pastre

PAD: Procedural Analog Design Tool D. Stefanovic, M. Kayal, M. Pastre PAD: Procedural Analog Design Tool D. Stefanovic, M. Kayal, M. Pastre Swiss Federal Institute of Technology, Electronic Labs, STI/IMM/LEG, Lausanne, Switzerland Procedural Analog Design Tool Interactive

More information

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO Nanometer Technologies: Where Design and Manufacturing Converge Walden C. Rhines CHAIRMAN & CEO Nanometer Technologies: Where Design and Manufacturing Converge Nanometer technologies make designers aware

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray Agenda Problems of On-chip Global Signaling Channel Design Considerations

More information

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator 1 G. Rajesh, 2 G. Guru Prakash, 3 M.Yachendra, 4 O.Venka babu, 5 Mr. G. Kiran Kumar 1,2,3,4 Final year, B. Tech, Department

More information

Variability Aware Modeling for Yield Enhancement of SRAM and Logic

Variability Aware Modeling for Yield Enhancement of SRAM and Logic Variability Aware Modeling for Yield Enhancement of SRAM and Logic Miguel Miranda, Paul Zuber, Petr Dobrovolný, Philippe Roussel CMOS Technology Department, Process Technology Division, imec, Belgium Abstract

More information

Dr. Gunter Strube. Carlo Roma, Andreas Ripp, Dr. Michael Pronath.

Dr. Gunter Strube. Carlo Roma, Andreas Ripp, Dr. Michael Pronath. Preprint from: SBCCI 10, September 6 9, 2010, Sao Paulo, SP, Brazil Systematic Analysis & Optimization of Analog/Mixed- Signal Circuits Balancing Accuracy and Design Time Antonio Colaci, Gianluigi Boarin,

More information

Advanced Operational Amplifiers

Advanced Operational Amplifiers IsLab Analog Integrated Circuit Design OPA2-47 Advanced Operational Amplifiers כ Kyungpook National University IsLab Analog Integrated Circuit Design OPA2-1 Advanced Current Mirrors and Opamps Two-stage

More information

Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2

Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2 Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2 1 ME, Dept. Of Electronics And Telecommunication,PREC, Maharashtra, India 2 Associate Professor,

More information

Design and Performance Analysis of Low Power RF Operational Amplifier using CMOS and BiCMOS Technology

Design and Performance Analysis of Low Power RF Operational Amplifier using CMOS and BiCMOS Technology Proc. of Int. Conf. on Recent Trends in Information, Telecommunication and Computing, ITC Design and Performance Analysis of Low Power RF Operational Amplifier using CMOS and BiCMOS Technology A. Baishya

More information

STATISTICAL DESIGN AND YIELD ENHANCEMENT OF LOW VOLTAGE CMOS ANALOG VLSI CIRCUITS

STATISTICAL DESIGN AND YIELD ENHANCEMENT OF LOW VOLTAGE CMOS ANALOG VLSI CIRCUITS STATISTICAL DESIGN AND YIELD ENHANCEMENT OF LOW VOLTAGE CMOS ANALOG VLSI CIRCUITS Istanbul Technical University Electronics and Communications Engineering Department Tuna B. Tarim Prof. Dr. Hakan Kuntman

More information

Variation-Aware Design for Nanometer Generation LSI

Variation-Aware Design for Nanometer Generation LSI HIRATA Morihisa, SHIMIZU Takashi, YAMADA Kenta Abstract Advancement in the microfabrication of semiconductor chips has made the variations and layout-dependent fluctuations of transistor characteristics

More information

Low Noise Amplifier Design Methodology Summary By Ambarish Roy, Skyworks Solutions, Inc.

Low Noise Amplifier Design Methodology Summary By Ambarish Roy, Skyworks Solutions, Inc. February 2014 Low Noise Amplifier Design Methodology Summary By Ambarish Roy, Skyworks Solutions, Inc. Low Noise Amplifiers (LNAs) amplify weak signals received by the antenna in communication systems.

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

METHODS FOR TRUE ENERGY- PERFORMANCE OPTIMIZATION. Naga Harika Chinta

METHODS FOR TRUE ENERGY- PERFORMANCE OPTIMIZATION. Naga Harika Chinta METHODS FOR TRUE ENERGY- PERFORMANCE OPTIMIZATION Naga Harika Chinta OVERVIEW Introduction Optimization Methods A. Gate size B. Supply voltage C. Threshold voltage Circuit level optimization A. Technology

More information

ECEN 474/704 Lab 8: Two-Stage Miller Operational Amplifier

ECEN 474/704 Lab 8: Two-Stage Miller Operational Amplifier ECEN 474/704 Lab 8: Two-Stage Miller Operational Amplifier Objective Design, simulate and test a two-stage operational amplifier Introduction Operational amplifiers (opamp) are essential components of

More information

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers Muhammad Nummer and Manoj Sachdev University of Waterloo, Ontario, Canada mnummer@vlsi.uwaterloo.ca, msachdev@ece.uwaterloo.ca

More information

How is a CMC Standard Model Implemented And Verified in a Simulator?

How is a CMC Standard Model Implemented And Verified in a Simulator? How is a CMC Standard Model Implemented And Verified in a Simulator? MOS-AK Workshop, Jushan Xie Vice Chairman of the CMC Senior Architect, Cadence Design Systems, Inc. 1 Content Benefit of CMC standard

More information

STM RH-ASIC capability

STM RH-ASIC capability STM RH-ASIC capability JAXA 24 th MicroElectronic Workshop 13 th 14 th October 2011 Prepared by STM Crolles and AeroSpace Unit Deep Sub Micron (DSM) is strategic for Europe Strategic importance of European

More information

Accurate CMOS Reference- Regulator Circuits

Accurate CMOS Reference- Regulator Circuits Accurate CMOS eference- egulator Circuits Vishal Gupta Prof. Gabriel incón-mora Georgia Tech Analog and Power IC Design Lab Abstract The schematics of two novel reference-regulator circuits have been presented.

More information

On-Chip Transistor Characterization Arrays with Digital Interfaces for Variability Characterization *

On-Chip Transistor Characterization Arrays with Digital Interfaces for Variability Characterization * On-Chip Transistor Characterization Arrays with Digital Interfaces for Variability Characterization * Simeon Realov, William McLaughlin, K. L. Shepard Department of Electrical Engineering, Columbia University

More information

CMOS Test and Evaluation

CMOS Test and Evaluation CMOS Test and Evaluation Manjul Bhushan Mark B. Ketchen CMOS Test and Evaluation A Physical Perspective Manjul Bhushan OctEval Hopewell Junction, NY, USA Mark B. Ketchen OcteVue Hadley, MA, USA ISBN 978-1-4939-1348-0

More information

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

A Case Study of Nanoscale FPGA Programmable Switches with Low Power A Case Study of Nanoscale FPGA Programmable Switches with Low Power V.Elamaran 1, Har Narayan Upadhyay 2 1 Assistant Professor, Department of ECE, School of EEE SASTRA University, Tamilnadu - 613401, India

More information

Reliability Enhancement of Low-Power Sequential Circuits Using Reconfigurable Pulsed Latches

Reliability Enhancement of Low-Power Sequential Circuits Using Reconfigurable Pulsed Latches 1 Reliability Enhancement of Low-Power Sequential Circuits Using Reconfigurable Pulsed Latches Wael M. Elsharkasy, Member, IEEE, Amin Khajeh, Senior Member, IEEE, Ahmed M. Eltawil, Senior Member, IEEE,

More information

Evaluation of Package Properties for RF BJTs

Evaluation of Package Properties for RF BJTs Application Note Evaluation of Package Properties for RF BJTs Overview EDA simulation software streamlines the development of digital and analog circuits from definition of concept and estimation of required

More information

A/D Conversion and Filtering for Ultra Low Power Radios. Dejan Radjen Yasser Sherazi. Advanced Digital IC Design. Contents. Why is this important?

A/D Conversion and Filtering for Ultra Low Power Radios. Dejan Radjen Yasser Sherazi. Advanced Digital IC Design. Contents. Why is this important? 1 Advanced Digital IC Design A/D Conversion and Filtering for Ultra Low Power Radios Dejan Radjen Yasser Sherazi Contents A/D Conversion A/D Converters Introduction ΔΣ modulator for Ultra Low Power Radios

More information

CMOS Process Variations: A Critical Operation Point Hypothesis

CMOS Process Variations: A Critical Operation Point Hypothesis CMOS Process Variations: A Critical Operation Point Hypothesis Janak H. Patel Department of Electrical and Computer Engineering University of Illinois at Urbana-Champaign jhpatel@uiuc.edu Computer Systems

More information

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design Chapter 6 Case Study: 2.4-GHz Direct Conversion Receiver The chapter presents a 0.25-µm CMOS receiver front-end designed for 2.4-GHz direct conversion RF transceiver and demonstrates the necessity and

More information

You will be asked to make the following statement and provide your signature on the top of your solutions.

You will be asked to make the following statement and provide your signature on the top of your solutions. 1 EE 435 Name Exam 1 Spring 2018 Instructions: The points allocated to each problem are as indicated. Note that the first and last problem are weighted more heavily than the rest of the problems. On those

More information

Fast CMOS Transimpedance Amplifier and Comparator circuit for readout of silicon strip detectors at LHC experiments

Fast CMOS Transimpedance Amplifier and Comparator circuit for readout of silicon strip detectors at LHC experiments Fast CMOS Transimpedance Amplifier and Comparator circuit for readout of silicon strip detectors at LHC experiments Jan Kaplon - CERN Wladek Dabrowski - FPN/UMM Cracow Pepe Bernabeu IFIC Valencia Carlos

More information

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar Testing of Complex Digital Chips Juri Schmidt Advanced Seminar - 11.02.2013 Outline Motivation Why testing is necessary Background Chip manufacturing Yield Reasons for bad Chips Design for Testability

More information

Functional Coverage Collection for Analog Circuits Enabling Seamless Collaboration between Design and Verification

Functional Coverage Collection for Analog Circuits Enabling Seamless Collaboration between Design and Verification Functional Coverage Collection for Analog Circuits Enabling Seamless Collaboration between Design and Verification Z. Ye, H. Lin and A. M. Khan Texas Instruments 12500 TI Blvd, Dallas, TX 75243 Abstract-In

More information

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems EDA Challenges for Low Power Design Anand Iyer, Cadence Design Systems Agenda Introduction ti LP techniques in detail Challenges to low power techniques Guidelines for choosing various techniques Why is

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

Lecture 13. Technology Trends and Modeling Pitfalls: Transistors in the real world

Lecture 13. Technology Trends and Modeling Pitfalls: Transistors in the real world Lecture 13 Technology Trends and Modeling Pitfalls: Transistors in the real world Guest lecturer: Jared Zerbe Rambus Inc jared@rambus.com Copyright 2003 by Mark Horowitz 1 Overview CMOS technology trends

More information

IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 02, 2016 ISSN (online):

IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 02, 2016 ISSN (online): IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 02, 2016 ISSN (online): 2321-0613 Design & Analysis of CMOS Telescopic Operational Transconductance Amplifier (OTA) with

More information

VCTA: A Via-Configurable Transistor Array Regular Fabric

VCTA: A Via-Configurable Transistor Array Regular Fabric VCTA: A Via-Configurable Transistor Array Regular Fabric Marc Pons, Francesc Moll, Antonio Rubio, Jaume Abella, Xavier Vera and Antonio González Universitat Politècnica de Catalunya, Electronic Engineering,

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Stacked-FET linear SOI CMOS SPDT antenna switch with input P1dB greater than

Stacked-FET linear SOI CMOS SPDT antenna switch with input P1dB greater than LETTER IEICE Electronics Express, Vol.9, No.24, 1813 1822 Stacked-FET linear SOI CMOS SPDT antenna switch with input P1dB greater than 40 dbm Donggu Im 1a) and Kwyro Lee 1,2 1 Department of EE, Korea Advanced

More information

FD-SOI FOR RF IC DESIGN. SITRI LETI Workshop Mercier Eric 08 september 2016

FD-SOI FOR RF IC DESIGN. SITRI LETI Workshop Mercier Eric 08 september 2016 FD-SOI FOR RF IC DESIGN SITRI LETI Workshop Mercier Eric 08 september 2016 UTBB 28 nm FD-SOI : RF DIRECT BENEFITS (1/2) 3 back-end options available Routing possible on the AluCap level no restriction

More information

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop)

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) March 2016 DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) Ron Newhart Distinguished Engineer IBM Corporation March 19, 2016 1 2016 IBM Corporation Background

More information

Improved Pre-Sample pixel

Improved Pre-Sample pixel Improved Pre-Sample pixel SUMMARY/DIALOGUE 2 PRESAMPLE PIXEL OVERVIEW 3 PRESAMPLE PIXEL SIMULATION: EXAMPLE OPERATION 4 PRESAMPLE PIXEL SIMULATION: SMALL SIGNALS AROUND THRESHOLD 6 PRESAMPLE PIXEL SIMULATION:

More information

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University EE 224 Solid State Electronics II Lecture 3: Lattice and symmetry 1 Outline

More information

Trends and Challenges in Analog and Mixed-Signal- Verification

Trends and Challenges in Analog and Mixed-Signal- Verification Trends and Challenges in Analog and Mixed-Signal- Verification Dieter Haerle 17.5.2018 Trust, but verify - Ronald Reagan Agenda 1 2 3 4 5 6 7 Introduction Presenter Definitions Motivation What is Analog-Mixed-Signal

More information

ECE 415/515 ANALOG INTEGRATED CIRCUIT DESIGN

ECE 415/515 ANALOG INTEGRATED CIRCUIT DESIGN ECE 415/515 ANALOG INTEGRATED CIRCUIT DESIGN OPAMP DESIGN AND SIMULATION Vishal Saxena OPAMP DESIGN PROJECT R 2 v out v in /2 R 1 C L v in v out V CM R L V CM C L V CM -v in /2 R 1 C L (a) (b) R 2 ECE415/EO

More information

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California A 4 GSample/s 8-bit ADC in 0.35 µm CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California 1 Outline Background Chip Architecture

More information

Overview and Challenges

Overview and Challenges RF/RF-SoC Overview and Challenges Fang Chen May 14, 2004 1 Content What is RF Research Topics in RF RF IC Design/Verification RF IC System Design Circuit Implementation What is RF-SoC Design Methodology

More information

Rail-To-Rail Output Op-Amp Design with Negative Miller Capacitance Compensation

Rail-To-Rail Output Op-Amp Design with Negative Miller Capacitance Compensation Rail-To-Rail Op-Amp Design with Negative Miller Capacitance Compensation Muhaned Zaidi, Ian Grout, Abu Khari bin A ain Abstract In this paper, a two-stage op-amp design is considered using both Miller

More information

Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters

Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters Abstract In this paper, we present a complete design methodology for high-performance low-power Analog-to-Digital

More information

Challenges of in-circuit functional timing testing of System-on-a-Chip

Challenges of in-circuit functional timing testing of System-on-a-Chip Challenges of in-circuit functional timing testing of System-on-a-Chip David and Gregory Chudnovsky Institute for Mathematics and Advanced Supercomputing Polytechnic Institute of NYU Deep sub-micron devices

More information

DESIGNING powerful and versatile computing systems is

DESIGNING powerful and versatile computing systems is 560 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 15, NO. 5, MAY 2007 Variation-Aware Adaptive Voltage Scaling System Mohamed Elgebaly, Member, IEEE, and Manoj Sachdev, Senior

More information

Characterization and Variation Modeling for 22FDX. Ning Jin Digital Design Methodology Team

Characterization and Variation Modeling for 22FDX. Ning Jin Digital Design Methodology Team Characterization and Variation Modeling for 22FDX Ning Jin Digital Design Methodology Team Agenda 1 2 3 4 Introduction to 22FDX Technology Library Characterization in Liberate and Variety Library Characterization

More information

Accuracy and Speed Performance of HiSIM Versions 231 and 240

Accuracy and Speed Performance of HiSIM Versions 231 and 240 Accuracy and Speed Performance of HiSIM Versions 231 and 240 H.J. Mattausch, M. Miura-Mattausch, N. Sadachika, M. Miyake Graduate School of Advanced Sciences of Matter, Hiroshima University T. Iizuka NEC

More information

Design for Yield (DFY)

Design for Yield (DFY) Creating Robust Designs using Statistical Methods Design for Yield (DFY) Page 1 Creating Robust Designs using Statistical Methods What is a Robust Design? A design that is less sensitive to the manufacturing

More information

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin The Effect of Threshold Voltages on the Soft Error Rate - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin Outline Introduction Soft Errors High Threshold ( V t ) Charge Creation Logic Attenuation

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology Research Paper American Journal of Engineering Research (AJER) e-issn : 2320-0847 p-issn : 2320-0936 Volume-3, Issue-9, pp-15-19 www.ajer.org Open Access Design of a Low Voltage low Power Double tail comparator

More information