Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies

Size: px
Start display at page:

Download "Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies"

Transcription

1 Low-Power and Process Variation Tolerant Memories in sub-9nm Technologies Saibal Mukhopadhyay, Swaroop Ghosh, Keejong Kim, and Kaushik Roy Dept. of ECE, Purdue University, West Lafayette, IN, Abstract Inter-die and intra-die variation in process parameters increases parametric failures and leakage spread in nano-scale memories, leading to significant yield degradation. Design level optimization methods are not sufficient to address the leakage and parametric failures, particularly, under large variation. In this paper, we propose two post-silicon tuning techniques which can simultaneously reduce the leakage spread and improve parametric yield in memories. We show that, selfrepairing and self-adaptive systems with post-silicon tuning are essential for designing low-power and robust memories in sub- 9nm technologies. AXL V L = NL PL WL VDD V R = NR PR AXR I. INTRODUCTION /6/$2. 26 IEEE 55 BL Subthreshold leakage ( I ) sub Gate leakage ( I ) gd Junction leakage ( I ) jn Increasing inter-die and intra-die variation in process parameters, such as, channel length, threshold voltage etc., have emerged as a major challenge in circuit design in nanometer regime [-2]. The random intra-die variations in the process parameters can result in a mismatch in the strength between the neighboring transistors in an cell [2,3]. Due to the small geometry of the transistors used in cell, the primary source of the intra-die variation is the Vt variation due to Random Dopant Fluctuation. RDF induced Vt variations, can result in functional failures, namely, read, write, access and hold failures in an cell. These functional failures, collectively called parametric failures, reduce the memory yield (i.e. the number of non-faulty chips) [2, 3]. Moreover, the die-to-die variation in device parameter (say, threshold voltage) also has a strong impact on cell failures [4]. The different types of parametric failures increase at different inter-die process corners thereby further degrading the design yield. In particular, low-vt dies suffer mostly from the read and hold failures while high-vt dies suffer from access and write failures (described in detail in section-ii). Increase in cell area or use of higher redundancy can improve the cell robustness against intra-die variation [3]. However, higher cell area or large redundancy increases the leakage current (and hence power dissipation) in memory arrays. Further, the inter-die variation in device parameter (e.g. Vt) also results in a large leakage spread among the different dies []. Hence, techniques to simultaneously reduce parametric failures and leakage spread in array are necessary in nano-meter regime. In this paper, we show the opportunities of using postsilicon adaptive repair technique for designing low power and process variation tolerant in sub-9nm nodes. In particular, we present: A self-repairing which uses leakage monitor and body-bias to simultaneously improve parametric yield and reduce leakage spread. A self-adaptive source-bias scheme for reducing leakage in while maintaining hold failures under control. Our analysis shows that, post-silicon self-repair and self- Fig. : Cell storing at node R. adaptive techniques are essential for designing low-power and robust memories in nanometer nodes. II. PARAMETRIC FAILURES Increasing statistical variations in the process parameters (channel length (L), width (W), and transistor threshold voltage (Vt)) has emerged as a serious problem in the nanoscaled circuit design [2-4]. The inter-die parameter variations, coupled with the intrinsic on-die variation in Vt due to random dopant fluctuation, can result in failure of cells [3, 4]. A cell failure can occur due to: an increase in the cell access time (access failure), unstable read/write operations (read/write failure), or (c) failure in the data holding capability of the cell at a lower supply voltage (hold failure in the standby mode). A failure in any of the cells in a column (or row) of the memory will make that column (or row) faulty. If the number of faulty columns (or rows) in a memory chip is larger than the number of redundant columns (or rows), then the chip is considered to be faulty [3]. Hence, the failure probability of a cell is directly related to the yield of a memory chip. Consequently, estimation of the failure probability for a cell is necessary in the design phase to ensure a good yield. We have developed a method to predict the yield of a memory chip under inter-die variation of L, W and Vt by estimating the failure probability of the cell considering intra-die parameter variation [3]. The proposed method is used to optimize the design of cell (i.e. transistor sizes) and array (array organization and redundancy) to minimize the memory failure probability due to random intra-die variation [3]. Such a presilicon design level optimization helps to improve yield to a certain extent. However, due to constraints on memory area/leakage, design level optimization is not sufficient to improve yield under large variation. In the next two sections, we have used the developed estimation method to show the effectiveness of post-silicon self-repair and self-adaptive BR Authorized licensed use limited to: Intel Corporation via the Intel Library. Downloaded on April 3,2 at 22:53:6 EDT from IEEE Xplore. Restrictions apply.

2 5 Failure Region A Region B Region C Hold Access memory failure Overall cell failure Inter die Vt Shift [V] Write Read Fig. 2: Analysis of Self-repairing : cell and memory failure probability with inter-die Vt shift, effect of body-bias on cell failure, and (c) yield improvement with self-repairing technique (simulations in predictive 7nm technology [5]) techniques for yield enhancement and leakage reduction. number of dies in region A, B, and C are N A, N B and N C, respectively. Hence, yield can be obtained as [3, 4]: III. SELF-REPAIRING USING BODY BIAS PN A A + PN B B + PN C C NB In this section, we present a circuit technique which monitors Yield = = () the leakage of an array to detect the inter-die process N A+ NB + NC NA + NB + NC corner of memory chip, and applies proper body bias to reduce where, P A (~), P B (~), and P C (~) are the memory failure inter-die shift [4]. We show that through correct body biasing, probabilities in the region A, B and C. Hence, to improve yield, the parametric yield of can be improved significantly. N A and N C have to be reduced (in other words N B needs to be increased). This can be achieved by applying RBB to the dies in A. Effect of Inter-die Vt shift on Cell Failures region A thereby reducing their read and hold failure probability. A Vt shift toward low Vt process corners, due to inter-die Similarly, application of FBB to the chips in region C reduces variation, increases the read and the hold failures of s (Fig. their write and access failure probability. This effectively, 2a). This is because of the fact that, lowering the Vt of the cell increases the Vt(inter) window for region B (higher N B and transistors increases V READ and V TRIPRD, thereby increasing read lower N A & N C ) and improved parametric yield (Fig. 2c) [4]. failures [4]. The negative Vt shift increases the leakage through the transistor N L, thereby, increasing the hold failures. On the other hand, for arrays in the high-vt process corners, the probabilities of access failures and write failures are high (Fig. 2a) [4]. This is principally due to the reduction in the current drive of the access transistors. The hold failure also increases at the high Vt corners, as the trip-point of the inverter PR-NR increases with positive Vt shift. Hence, the overall cell failure increases both at low and high-vt corners and is minimum for arrays in the nominal corner (Fig. 2a). Consequently, the probability of memory failure is high at both low-vt and high-vt process corners (Fig.2b). B. Effect of Body-bias on Cell Failures Let us now discuss the effect of the body-bias (applied only to NMOS) on different types of failures. Application of reverse body-bias increases the Vt of the transistors which reduces V READ and increases V TRIPRD, resulting in a reduction in the read failure (Fig. 2b) [4]. The Vt increase due to RBB also reduces the leakage through the NMOS thereby reducing hold failures (Fig. 2b). However, increase in the Vt of the access transistors due to RBB increases the access and the write failures. On the other hand, application of FBB reduces the Vt of the access transistor which reduces both access and write failures. However, it increases the read (V READ increase and V TRIPRD reduces) and hold (leakage through NMOS increases) failures (Fig. 2b) [4]. x 3 The Cell is sized to have equal probablities for different failure events at ZBB.8 Overall Cell Write Failure Failure C. Application of Adaptive Body Bias to Enhance Yield From Fig. 2c, it can be observed that, above a certain Vt-shift (~mv) small changes in inter-die Vt results in a large memory failure probability (regions A and C). However, for chips with Vt in the window of -mv to mv (region B) the memory failure probability is negligible. Let us further assume that the Failure Access Failure Hold Failure Read Failure Body Bias [V] Yield [%] KB Self Reparing 256KB Self Reparing 5 64KB 4 with ZBB 3 256KB with ZBB Std. dev of inter die Vt distribution [V] D. Vt bining using Monitors To determine the correct body bias to apply to the chip for failure probability improvement, the inter-die process corner of a memory chip needs to be determined. The detection of the interdie Vt corner (in the presence of large intra-die Vt variation due to RDF) of a die can be achieved by monitoring the leakage of a large array (instead of monitoring the leakage of a single transistor or cell). distribution due to random within-die Vt variation of a cell from low inter-die Vt corner can overlap with that of a cell from high inter-die Vt corner (Fig. 3a). However, since leakage of a large array is the sum of the leakage of all the cells, the leakage distribution of the arrays (due to within-die Vt variation) from different inter-die corners are well separated (following Central Limit Theorem [6]). Hence, # of Occurance Vt inter = mv Vt inter = mv Vt inter = mv Cell [na] Fig. 3: Effect of random intra-die Vt variation at different interdie Vt corners: leakage distribution (due to intra-die variation) of an cell, leakage distribution (due to intra-die variation) of the KB array (predictive 7nm device [5]) 56 # of Occurances High Vt (c) Nominal Vt Memory Size = KB Temparature = 27C Vt Inter=mV Low Vt Vt Inter= mv Vt Inter=mV 2 3 Memory [µa] Authorized licensed use limited to: Intel Corporation via the Intel Library. Downloaded on April 3,2 at 22:53:6 EDT from IEEE Xplore. Restrictions apply.

3 Bypass Switch Calibrate Signal V DD Online Monitor V out V REF V REF2 Comparator # of Failures No-body-bias (256KB) Self-repairing (256KB) Array V body Body-Bias Generator Inter-Vt sigma (mv) Fig. 4: Self-repairing : block diagram, Reduction in number of failures in 256KB memory array leakage of an entire array can be used to detect the inter-die shift in Vt even under a large random intra-die variation (Fig. 3b) [4]. E. Self-Repairing using Monitoring In a Self-repairing using Monitor, the leakage (memory leakage) of the die is monitored using an online leakage monitor. The monitor generates an output voltage (Vout) that is proportional to the leakage of the array. The output of the leakage monitor is compared with the reference voltages corresponding to the different inter-die process corners. Based on the results of this comparison, the body bias generator applies the right body bias to the array. For example, if an die is in the low inter-die Vt corner, the output of the leakage monitor (Vout) will be greater than both the reference voltages (V REF and V REF2 ) and both comparators generate zero, resulting in application of a reverse body bias (RBB). To avoid any performance loss due to the voltage drop across the leakage monitor (which is in the supply path) it is bypassed in the regular mode of operation. The schematic of a self-repairing array is shown in Fig. 4a. Fig. 4b shows the reduction of number of failures in 256KB memory array shifted to different inter-die corners using self-repairing technique described above for 7nm predictive technology [5]. Fig. 2c shows that, the self-repairing improves parametric yield by 8-25%. F. Spread Reduction using Self-Repairing The total leakage of an cell in bulk silicon technology is composed of the subthreshold, the gate and the junction tunneling leakage [7]. Considering random intra-die variation in Vt, the leakage of different cells (L Cell ) in a memory can be modeled as independent Lognormal random variables [4]. Using the central limit theorem, the distribution of the overall memory leakage (L MEM ) (summation of leakage of all the cells, say N cell ) can be assumed to be Gaussian with mean (µ MEM ) and the standard deviation (σ MEM ) given by [6]: µ = N µ and σ = N σ (2) MEM Cell Cell MEM Cell Cell Hence, the probability that L MEM is less than a maximum allowable limit (L MAX ) is given by: LMAX µ MEM PLeakMEM = P[ LMEM < LMAX ] = Φ (3) σ MEM Considering the inter-die Vt variation, the ratio of the number of chips that meet the above leakage bound to the total number of chips (i.e. yield, L Yield )can be obtained as: N INTER LYield = PLeakMEM ( VtINTER ) NINTER INTER= (4) An increase in L Yield represents a design with a lower leakage spread. As shown in Fig. 3 inter-die shift in process parameters can significantly leakage of an die. Hence, inter-die variation increases the leakage spread and degrades L Success. Application of FBB increases subthreshold leakage of a cell, whereas, application of RBB reduces it [7]. On the other hand, application of RBB increases reverse junction tunneling leakage while FBB reduces it (Fig. 5a) [7]. The gate leakage of the cell is less sensitive to body bias (Fig. 5a) [7]. The application of selfrepairing technique also reduces the spread in the inter-die leakage spread. This is because of the fact that, application of RBB to the arrays in the low-vt corner reduces their subthreshold leakage, while FBB to the arrays in the high-vt corner increases Normalized Cell Current Junction Max FBB Maximum Bound Total Subthreshold Gate # of Occurance High Vt FBB Nom Vt ZBB Self repairing Low Vt RBB Yield (L Yield ) [%] ZBB Design Predictive 7nm device 64KB Array Self Repairing Body Bias [V] Mean Memory [A] Std. Dev of Inter Die Vt Shift [V] (c) Fig. 5: Self-repairing and leakage current (predictive 7nm device): effect of body bias on cell leakage, leakage spread with self-repairing, (c) leakage yield with self-repairing (64KB array). 57 Authorized licensed use limited to: Intel Corporation via the Intel Library. Downloaded on April 3,2 at 22:53:6 EDT from IEEE Xplore. Restrictions apply.

4 [V] P HF =.E -3 Memory size = 2KB (adaptive)[v] KB memory Vt inter [V] Fig. 6: Source biasing voltage for a target hold failure probability under inter-die variation the subthreshold leakage (Fig. 5b). Hence, self-repairing improves the number of chips that satisfy the given leakage bound (i.e. L Yield ) (Fig. 5c). Application of a very high forward bias or reverse bias may significantly increase the diode leakage or junction tunneling leakage, respectively. Hence, the maximum FBB and RBB that can be applied to a cell are bound by the effect of body-bias on the cell leakage. Calibrate EN DE counter N D/A Converter PULSE STOP VSB BIST Controller Register bank and counter address March Test Algorithms Cell Group data Fig. 7: Block diagram of the self-adaptive scheme for source-biasing for designing low-power. 58 P HF Vt inter [V] V = V (adaptive) SB SB = (opt).2 2KB Memory.2. Vt [V] inter..2 Fig. 8 Self-adaptive source bias scheme: Adaptive sourcebiasing voltage for different inter-die corners ( (opt)=.63), hold failure probability with inter-die variation for (opt) and (adaptive) IV. SELF-ADAPTIVE SOURCE-BIASING FOR LOW-POWER Source-biasing is an effective technique to reduce the leakage power of array in stand-by mode [8, 9]. In this technique, in the stand-by mode, voltage of the source line is increased to reduce the leakage currents. Since, inter-die variation modifies the leakage of an array shifted to different interdie corners different source-bias is required at different inter-die corner to keep the leakage power under control. In particular, for dies shifted to low-vt corners, a higher source bias will be more helpful as leakage is higher. On the other hand, for dies shifted to high-vt corner, a much lower source bias is sufficient as the leakage itself is very low []. On the other hand, under parameter variation, a non-zero source bias can increase hold-failure probability (as rail-to-rail supply reduces). Moreover, as shown in Fig. 2a, the hold-failure probability also changes with a change in inter-die process shift. In particular, a negative inter-die Vt shift increases the holdfailure probability significantly (higher leakage). A positive interdie Vt shift beyond a certain point also starts increasing the hold failures (higher trip-point of the inverter associated with the node storing ). Hence, to achieve a certain hold failure probability, different source bias is required at different inter-die corner [] (Fig. 6). The applicable bias is maximum at the nominal corner and reduces with both positive and negative inter-die shift []. Form the above discussion, it can be concluded that a fixed may not be used across all the chips to meet a target holdfailure probability. In general, must be increased to reduce the standby power as much as possible. However, the maximum at an inter-die corner is ultimately limited by the hold failures. Hence, a self-calibration scheme is required to reduce the number of dies failing due to excessive leakage (i.e. improve leakage yield) while keeping yield loss due to hold failures under control []. Fig. 7 shows the block diagram of a self-calibration system for adaptive source biasing. In an initial calibration cycle, it determines the value of source bias and subsequently uses this value during standby mode of the memory. The self-calibration system consists of a Built-in Self-Test (BIST) circuit to perform read and write operations on the memory array. The source bias is generated by converting a digital counter value to an analog voltage. The counter value is incremented by the BIST controller. The BIST maintains a register bank of size xnc (where NC is the number of columns in the memory array) to store the faulty column information. Each register corresponds to an entire column. The register bit is set to if a fault is detected in any row of that column. Another counter (inside the BIST) keeps track of the total number of registers with value. The counter value indicates the number of faulty columns in the array. The counter value greater than NRC for a particular, indicate that all redundant columns have been used. Therefore, the present can be considered as adaptive source bias value, (adaptive). Figure 8 shows the adaptive source bias value for a 2KB memory array (designed in predictive 7nm technology, 5% redundancy is assumed) shifted to different inter-die corner obtained using the self-adaptive scheme. In the absence of the self-adaptive scheme, we could apply (opt) (which is determined at the design level considering standby power and performance at the nominal interdie corner []) to all the dies. However, it can be observed from self-adaptive scheme widens the low hold Authorized licensed use limited to: Intel Corporation via the Intel Library. Downloaded on April 3,2 at 22:53:6 EDT from IEEE Xplore. Restrictions apply.

5 # of occurrences # of occurrences # of occurrence (adaptive) distribution due to intra die variation for all the chips at Vt inter = 2mV V [V] SB V [V] SB =V = (opt) = (adaptive) Yield (%) V 6 SB = V V = V (opt) SB SB 5 = (adaptive) σ Vtinter log(p standby ) Fig. 9: Effectiveness of self-adaptive source-bias: Distribution of Source-Bias in ASB Distribution of standby power with zero, (opt) and (adaptive) (memory) failure probability window, which helps improve yield under variation []. Statistical simulations in predictive 7nm technology [5] were performed to evaluate the effectiveness of the proposed technique. Fig. 9 shows the distribution of the source-bias voltage and the leakage considering both inter-die and intra-die variations. Inset of Fig. 9 shows that the variation in optimum source bias for different dies shifted to the same inter-die corner is negligible []. It is expected that, self-adaptive scheme will degrade the leakage yield compared to (opt) as for low-vt dies self-adaptive scheme uses a lower compared to (opt). However, simulation using predictive 7nm devices shows that, the difference between the leakage distribution due to selfadaptive scheme and (opt) is minimal []. Hence, the degradation in leakage yield due to the proposed self-adaptive scheme from the (opt) is negligible []. Compared to the zero source bias scheme, it achieves 7-25% improvement in leakage yield. Simultaneously, with self-adaptive source-bias number chips failing in hold mode reduced by 7-85% compared to with (opt). Compared to the zero source bias scheme, loss in hold yield in the proposed method is only -5%. Hence, the proposed self-adaptive source-bias scheme is suitable for low-power and robust design. V. CONCLUSION Technology scaling significant increases the leakage power and degrades parametric yield of. In this paper, we explored the possibilities of designing low-power and process variation tolerant in sub-9nm nodes. We proposed a selfrepairing which uses body bias to simultaneously reduce parametric failures and leakage spread in memory array. Next, we presented a self-adaptive source-biasing scheme to reduce standby power dissipation in while keeping hold failures under control. The significant yield improvement achieved using the proposed techniques, illustrates the need for self-repairing and Fig. Effectiveness of self-adaptive source-bias: yield with zero, (opt) and (adaptive) for different standard deviation of Vt inter ; hold yield with zero, (opt) and (adaptive) for different standard deviation of Vt inter self-adaptive systems for designing low-power and process variation tolerant memories in sub-9nm technology nodes. Acknowledgement: This research was partly supported by Gigascale System Research Center and Semiconductor Research Corp (#78.). VI. REFERENCE: [] S. Borkar, et. al, Design and reliability challenges in nanometer technologies, DAC, 24. [2] A. Bhavnagarwala, et. al., The impact of intrinsic device fluctuations on CMOS cell stability, IEEE JSSC, April 2. [3] S. Mukhopadhyay, et. al, Modeling of Failure and Statistical Design of Array for Yield Enhancement in Nano- Scaled CMOS, IEEE TCAD, Dec. 25. [4] S. Mukhopadhyay, et. al, Reliable and self-repairing sram in nano-scale technologies using leakage and delay monitoring, International Test Conference, pp , Nov, 25 [5] BPTM 7nm: Berkley Predictive Technology Model, [6] A. Papoulis,, Random Variables and Stochastic Process, McGraw-Hill, NY 99 [7] S. Mukhopadhyay, et. al., Accurate estimation of total leakage in nanometer-scale bulk CMOS circuits based on device geometry and doping profile, IEEE TCAD, March 25. [8] K. Roy et. al, current mechanisms and leakage reduction techniques in Deep-submicron CMOS Circuits, Proc. IEEE, 23. [9] H. Qin et. al, leakage suppression by minimizing standby supply voltage, ISQED, 24. [] S. Ghosh et. al, Self-Calibration Technique for Reduction of Hold Failures in Low-Power Nano-scaled, DAC, Authorized licensed use limited to: Intel Corporation via the Intel Library. Downloaded on April 3,2 at 22:53:6 EDT from IEEE Xplore. Restrictions apply.

Self-Calibration Technique for Reduction of Hold Failures in Low-Power Nano-scaled SRAM

Self-Calibration Technique for Reduction of Hold Failures in Low-Power Nano-scaled SRAM Self-Calibration Technique for Reduction of Hold Failures in Low-Power Nano-scaled SRAM Swaroop Ghosh, Saibal Mukhopadhyay, Keejong Kim, and, Kaushik Roy School of Electrical and Computer Engineering,

More information

Design For Test Technique for Leakage Power Reduction in Nanoscale Static Random Access Memory

Design For Test Technique for Leakage Power Reduction in Nanoscale Static Random Access Memory Journal of Computer Science 7 (8): 1252-1260, 2011 ISSN 1549-3636 2011 Science Publications Design For Test Technique for Leakage Power Reduction in Nanoscale Static Random Access Memory N.M. Sivamangai

More information

IN NANOSCALE CMOS devices, the random variations in

IN NANOSCALE CMOS devices, the random variations in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 9, SEPTEMBER 2005 1787 Estimation of Delay Variations due to Random-Dopant Fluctuations in Nanoscale CMOS Circuits Hamid Mahmoodi, Student Member, IEEE,

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger International Journal of Scientific and Research Publications, Volume 5, Issue 2, February 2015 1 Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger Dr. A. Senthil Kumar *,I.Manju **,

More information

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs 1 Outline Variations Process, supply voltage, and temperature

More information

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation Maziar Goudarzi, Tohru Ishihara, Hiroto Yasuura System LSI Research Center Kyushu

More information

Reducing Transistor Variability For High Performance Low Power Chips

Reducing Transistor Variability For High Performance Low Power Chips Reducing Transistor Variability For High Performance Low Power Chips HOT Chips 24 Dr Robert Rogenmoser Senior Vice President Product Development & Engineering 1 HotChips 2012 Copyright 2011 SuVolta, Inc.

More information

Design of 45 nm Fully Depleted Double Gate SOI MOSFET

Design of 45 nm Fully Depleted Double Gate SOI MOSFET Design of 45 nm Fully Depleted Double Gate SOI MOSFET 1. Mini Bhartia, 2. Shrutika. Satyanarayana, 3. Arun Kumar Chatterjee 1,2,3. Thapar University, Patiala Abstract Advanced MOSFETS such as Fully Depleted

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Microelectronics Journal 39 (2008) 1714 1727 www.elsevier.com/locate/mejo Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Ranjith Kumar, Volkan Kursun Department

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY Abhishek Sharma 1,Shipra Mishra 2 1 M.Tech. Embedded system & VLSI Design NITM,Gwalior M.P. India

More information

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Voltage IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Sunil

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications ABSTRACT Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications Abhishek Sharma,Gunakesh Sharma,Shipra ishra.tech. Embedded system & VLSI Design NIT,Gwalior.P. India

More information

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Behnam Amelifard Department of EE-Systems University of Southern California Los Angeles, CA (213)

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective

Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective S. P. Mohanty, R. Velagapudi and E. Kougianos Dept of Computer Science and Engineering University of North Texas

More information

A High Performance IDDQ Testable Cache for Scaled CMOS Technologies

A High Performance IDDQ Testable Cache for Scaled CMOS Technologies A High Performance IDDQ Testable Cache for Scaled CMOS Technologies Swarup Bhunia, Hai Li and Kaushik Roy Purdue University, 1285 EE Building, West Lafayette, IN 4796 {bhunias, hl, kaushik}@ecn.purdue.edu

More information

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 131 CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 7.1 INTRODUCTION Semiconductor memories are moving towards higher levels of integration. This increase in integration is achieved through reduction

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Sumit Kumar Srivastavar 1, Er.Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology,

More information

Leakage Current Analysis

Leakage Current Analysis Current Analysis Hao Chen, Latriese Jackson, and Benjamin Choo ECE632 Fall 27 University of Virginia , , @virginia.edu Abstract Several common leakage current reduction methods such

More information

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code:

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code: Global Journal of researches in engineering Electrical and electronics engineering Volume 12 Issue 3 Version 1.0 March 2012 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global

More information

Ultra Low Power VLSI Design: A Review

Ultra Low Power VLSI Design: A Review International Journal of Emerging Engineering Research and Technology Volume 4, Issue 3, March 2016, PP 11-18 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Ultra Low Power VLSI Design: A Review G.Bharathi

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2

Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2 Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2 1 ME, Dept. Of Electronics And Telecommunication,PREC, Maharashtra, India 2 Associate Professor,

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

Double-Gate SOI Devices for Low-Power and High-Performance Applications

Double-Gate SOI Devices for Low-Power and High-Performance Applications Double-Gate SOI Devices for Low-Power and High-Performance Applications Kaushik Roy*, Hamid Mahmoodi**, Saibal Mukhopadhyay*, Hari Ananthan*, Aditya Bansal*, and Tamer Cakici* *Dept. of Electrical and

More information

II. PROPOSED ADAPTIVE BODY BIAS CIRCUIT

II. PROPOSED ADAPTIVE BODY BIAS CIRCUIT Process Aware Circuit Design Using Adaptive Body Biasing Raghvendra Chanpuriya, Anurag Shrivastava, Vijay K. Magraiya Department of EC SRCEM Banmore (M.P.) Abstract The process variation has become inevitable

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP 1 B. Praveen Kumar, 2 G.Rajarajeshwari, 3 J.Anu Infancia 1, 2, 3 PG students / ECE, SNS College of Technology, Coimbatore, (India)

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE RESEARCH ARTICLE OPEN ACCESS Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19)

More information

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits Circuits and Systems, 2015, 6, 60-69 Published Online March 2015 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.4236/cs.2015.63007 Design of Ultra-Low Power PMOS and NMOS for Nano Scale

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

Variability-Aware Optimization of Nano-CMOS Active Pixel Sensors using Design and Analysis of Monte Carlo Experiments

Variability-Aware Optimization of Nano-CMOS Active Pixel Sensors using Design and Analysis of Monte Carlo Experiments Variability-Aware Optimization of Nano-CMOS Active Pixel Sensors using Design and Analysis of Monte Carlo Experiments Dhruva Ghai, Saraju P. Mohanty 1, Elias Kougianos VLSI Design and CAD Laboratory http://vdcl.cse.unt.edu)

More information

FinFET-Based SRAM Design

FinFET-Based SRAM Design FinFET-Based SRAM Design Zheng Guo, Sriram Balasubramanian, Radu Zlatanovici, Tsu-Jae King, Borivoje Nikolić Department of Electrical Engineering and Computer Sciences, University of California, Berkeley,

More information

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform

More information

Larger-than-Vdd Forward Body Bias in Sub-0.5V Nanoscale CMOS

Larger-than-Vdd Forward Body Bias in Sub-0.5V Nanoscale CMOS .2 Larger-than-Vdd Forward Body Bias in Sub-.V Nanoscale CMOS Hari Ananthan, Chris H. Kim and Kaushik Roy Dept. of Electrical and Computer Engineering, Purdue University 28 Electrical Engineering Building,

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

ISSN:

ISSN: 1061 Area Leakage Power and delay Optimization BY Switched High V TH Logic UDAY PANWAR 1, KAVITA KHARE 2 12 Department of Electronics and Communication Engineering, MANIT, Bhopal 1 panwaruday1@gmail.com,

More information

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. Power and Energy Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu The Chip is HOT Power consumption increases

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI A.Karthik 1, K.Manasa 2 Assistant Professor, Department of Electronics and Communication Engineering, Narsimha Reddy Engineering

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ Hendrawan Soeleman, Kaushik Roy, and Bipul Paul Purdue University Department of Electrical and Computer Engineering West Lafayette, IN 797, USA fsoeleman,

More information

NBTI and Process Variation Circuit Design Using Adaptive Body Biasing

NBTI and Process Variation Circuit Design Using Adaptive Body Biasing IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 2, Ver. III (Mar-Apr. 2014), PP 91-98 e-issn: 2319 4200, p-issn No. : 2319 4197 NBTI and Process Variation Circuit Design Using Adaptive

More information

Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates

Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates Seyab Khan Said Hamdioui Abstract Bias Temperature Instability (BTI) and parameter variations are threats to reliability

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Muralidharan Venkatasubramanian Auburn University vmn0001@auburn.edu Vishwani D. Agrawal Auburn University vagrawal@eng.auburn.edu

More information

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology OUTLINE Understanding Fabrication Imperfections Layout of MOS Transistor Matching Theory and Mismatches Device Matching, Interdigitation

More information

SUBTHRESHOLD CIRCUIT DESIGN FOR HIGH PERFORMANCE

SUBTHRESHOLD CIRCUIT DESIGN FOR HIGH PERFORMANCE SUBTHRESHOLD CIRCUIT DESIGN FOR HIGH PERFORMANCE K. VIKRANTH REDDY 1, M. MURALI KRISHNA 2, K. LAL KISHORE 3 1 M.Tech. Student, Department of ECE, GITAM University, Visakhapatnam, INDIA 2 Assistant Professor,

More information

Single Ended Static Random Access Memory for Low-V dd, High-Speed Embedded Systems

Single Ended Static Random Access Memory for Low-V dd, High-Speed Embedded Systems Single Ended Static Random Access Memory for Low-V dd, High-Speed Embedded Systems Jawar Singh, Jimson Mathew, Saraju P. Mohanty and Dhiraj K. Pradhan Department of Computer Science, University of Bristol,

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS http:// A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS Ruchiyata Singh 1, A.S.M. Tripathi 2 1,2 Department of Electronics and Communication Engineering, Mangalayatan University

More information

Bridging the Gap between Dreams and Nano-Scale Reality

Bridging the Gap between Dreams and Nano-Scale Reality Bridging the Gap between Dreams and Nano-Scale Reality Ban P. Wong Design Methodology, Chartered Semiconductor wongb@charteredsemi.com 28 July 2006 Outline Deficiencies in Boolean-based Design Rules in

More information

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY International Journal of Knowledge Management & e-learning Volume 3 Number 1 January-June 2011 pp. 1-5 DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY K. Nagarjuna Reddy 1, K. V. Ramanaiah 2 & K. Sudheer

More information

SRAM Read-Assist Scheme for Low Power High Performance Applications

SRAM Read-Assist Scheme for Low Power High Performance Applications SRAM Read-Assist Scheme for Low Power High Performance Applications Ali Valaee A Thesis In the Department of Electrical and Computer Engineering Presented in Partial Fulfillment of the Requirements for

More information

An Overview of Static Power Dissipation

An Overview of Static Power Dissipation An Overview of Static Power Dissipation Jayanth Srinivasan 1 Introduction Power consumption is an increasingly important issue in general purpose processors, particularly in the mobile computing segment.

More information

Chapter 4. CMOS Cascode Amplifiers. 4.1 Introduction. 4.2 CMOS Cascode Amplifiers

Chapter 4. CMOS Cascode Amplifiers. 4.1 Introduction. 4.2 CMOS Cascode Amplifiers Chapter 4 CMOS Cascode Amplifiers 4.1 Introduction A single stage CMOS amplifier cannot give desired dc voltage gain, output resistance and transconductance. The voltage gain can be made to attain higher

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2 Minimization of Leakage Current of 6T SRAM using Optimal Technology Sumit Kumar Srivastava 1, Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology, Uttar Pradesh Technical

More information

Static Performance Analysis of Low Power SRAM

Static Performance Analysis of Low Power SRAM IJCSNS International Journal of Computer Science and Network Security, VOL.10 No.5, May 2010 189 Static Performance Analysis of Low Power SRAM Mamatha Samson Center for VLSI and Embedded System Technologies,

More information

A/D Conversion and Filtering for Ultra Low Power Radios. Dejan Radjen Yasser Sherazi. Advanced Digital IC Design. Contents. Why is this important?

A/D Conversion and Filtering for Ultra Low Power Radios. Dejan Radjen Yasser Sherazi. Advanced Digital IC Design. Contents. Why is this important? 1 Advanced Digital IC Design A/D Conversion and Filtering for Ultra Low Power Radios Dejan Radjen Yasser Sherazi Contents A/D Conversion A/D Converters Introduction ΔΣ modulator for Ultra Low Power Radios

More information

Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits

Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits Oleg Semenov, Andrzej Pradzynski * and Manoj Sachdev Dept. of Electrical and Computer Engineering,

More information

Leakage Power Reduction by Using Sleep Methods

Leakage Power Reduction by Using Sleep Methods www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 2 Issue 9 September 2013 Page No. 2842-2847 Leakage Power Reduction by Using Sleep Methods Vinay Kumar Madasu

More information

Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies

Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies Veena S. Chakravarthi and Swaroop Ghosh Abstract Test power has emerged as an important design concern in nano-scaled

More information

Variability in Sub-100nm SRAM Designs

Variability in Sub-100nm SRAM Designs Variability in Sub-100nm SRAM Designs Ray Heald & Ping Wang Sun Microsystems Ray Heald & Ping Wang ICCAD 2004 Variability in Sub-100nm SRAM Designs 11/9/04 1 Outline Background: Quick review of what is

More information

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #8: Leakage Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: Low Power Interconnect Finish Lecture 7 Leakage Mechanisms Circuit Styles for Low Leakage

More information

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b.

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. a PGMICRO, Federal University of Rio Grande do Sul, Porto Alegre, Brazil b Institute

More information

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment 1014 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 7, JULY 2005 Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment Dongwoo Lee, Student

More information

Dynamic Voltage and Frequency Scaling for Power- Constrained Design using Process Voltage and Temperature Sensor Circuits

Dynamic Voltage and Frequency Scaling for Power- Constrained Design using Process Voltage and Temperature Sensor Circuits Journal of Information Processing Systems, Vol.7, No.1, March 2011 DOI : 10.3745/JIPS.2011.7.1.093 Dynamic Voltage and Frequency Scaling for Power- Constrained Design using Process Voltage and Temperature

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

Extreme Temperature Invariant Circuitry Through Adaptive DC Body Biasing

Extreme Temperature Invariant Circuitry Through Adaptive DC Body Biasing Extreme Temperature Invariant Circuitry Through Adaptive DC Body Biasing W. S. Pitts, V. S. Devasthali, J. Damiano, and P. D. Franzon North Carolina State University Raleigh, NC USA 7615 Email: wspitts@ncsu.edu,

More information

Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE

Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE Abstract Employing

More information

ISSCC 2001 / SESSION 11 / SRAM / 11.4

ISSCC 2001 / SESSION 11 / SRAM / 11.4 ISSCC 2001 / SESSION 11 / SRAM / 11.4 11.4 Abnormal Leakage Suppression (ALS) Scheme for Low Standby Current SRAMs Kouichi Kanda, Nguyen Duc Minh 1, Hiroshi Kawaguchi and Takayasu Sakurai University of

More information

An Improved Bandgap Reference (BGR) Circuit with Constant Voltage and Current Outputs

An Improved Bandgap Reference (BGR) Circuit with Constant Voltage and Current Outputs International Journal of Research in Engineering and Innovation Vol-1, Issue-6 (2017), 60-64 International Journal of Research in Engineering and Innovation (IJREI) journal home page: http://www.ijrei.com

More information

A Thermally-Aware Methodology for Design-Specific Optimization of Supply and Threshold Voltages in Nanometer Scale ICs

A Thermally-Aware Methodology for Design-Specific Optimization of Supply and Threshold Voltages in Nanometer Scale ICs A Thermally-Aware Methodology for Design-Specific Optimization of Supply and Threshold Voltages in Nanometer Scale ICs ABSTRACT Sheng-Chih Lin, Navin Srivastava and Kaustav Banerjee Department of Electrical

More information

Opportunities and Challenges in Ultra Low Voltage CMOS. Rajeevan Amirtharajah University of California, Davis

Opportunities and Challenges in Ultra Low Voltage CMOS. Rajeevan Amirtharajah University of California, Davis Opportunities and Challenges in Ultra Low Voltage CMOS Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless sensors RFID

More information

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN Performance Evaluation and Comparison of Ultra-thin Bulk (UTB), Partially Depleted and Fully Depleted SOI MOSFET using Silvaco TCAD Tool Seema Verma1, Pooja Srivastava2, Juhi Dave3, Mukta Jain4, Priya

More information

A Read-Decoupled Gated-Ground SRAM Architecture for Low-Power Embedded Memories

A Read-Decoupled Gated-Ground SRAM Architecture for Low-Power Embedded Memories A Read-Decoupled Gated-Ground SRAM Architecture for Low-Power Embedded Memories Wasim Hussain A Thesis In The Department of Electrical and Computer Engineering Presented in Partial Fulfillment of the Requirements

More information

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique Total reduction of leakage power through combined effect of Sleep and variable body biasing technique Anjana R 1, Ajay kumar somkuwar 2 Abstract Leakage power consumption has become a major concern for

More information

LOW LEAKAGE CNTFET FULL ADDERS

LOW LEAKAGE CNTFET FULL ADDERS LOW LEAKAGE CNTFET FULL ADDERS Rajendra Prasad Somineni srprasad447@gmail.com Y Padma Sai S Naga Leela Abstract As the technology scales down to 32nm or below, the leakage power starts dominating the total

More information

Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability

Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability Islam A.K.M Mahfuzul Department of Communications and Computer Engineering Kyoto University mahfuz@vlsi.kuee.kyotou.ac.jp

More information

Leakage Power Reduction in CMOS VLSI

Leakage Power Reduction in CMOS VLSI Leakage Power Reduction in CMOS VLSI 1 Subrat Mahalik Department of ECE, Mallareddy Engineering College (Autonomous), Hyderabad, India 2 M. Bhanu Teja Department of ECE, Mallareddy Engineering College

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design Anu Tonk Department of Electronics Engineering, YMCA University, Faridabad, Haryana tonkanu.saroha@gmail.com Shilpa Goyal

More information

RELIABILITY ANALYSIS OF DYNAMIC LOGIC CIRCUITS UNDER TRANSISTOR AGING EFFECTS IN NANOTECHNOLOGY

RELIABILITY ANALYSIS OF DYNAMIC LOGIC CIRCUITS UNDER TRANSISTOR AGING EFFECTS IN NANOTECHNOLOGY RELIABILITY ANALYSIS OF DYNAMIC LOGIC CIRCUITS UNDER TRANSISTOR AGING EFFECTS IN NANOTECHNOLOGY A thesis work submitted to the faculty of San Francisco State University In partial fulfillment of The Requirements

More information

UNIT-1 Fundamentals of Low Power VLSI Design

UNIT-1 Fundamentals of Low Power VLSI Design UNIT-1 Fundamentals of Low Power VLSI Design Need for Low Power Circuit Design: The increasing prominence of portable systems and the need to limit power consumption (and hence, heat dissipation) in very-high

More information

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1 DATE 2006 Special Session: DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations in Digital, Analog and Mixed-Signal Circuit Design DATE 06 Munich, March 8th, 2006 Presenter

More information

SCALING power supply has become popular in lowpower

SCALING power supply has become popular in lowpower IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 1, JANUARY 2012 55 Design of a Subthreshold-Supply Bootstrapped CMOS Inverter Based on an Active Leakage-Current Reduction Technique

More information

CMOS Process Variations: A Critical Operation Point Hypothesis

CMOS Process Variations: A Critical Operation Point Hypothesis CMOS Process Variations: A Critical Operation Point Hypothesis Janak H. Patel Department of Electrical and Computer Engineering University of Illinois at Urbana-Champaign jhpatel@uiuc.edu Computer Systems

More information

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique International Journal of Electrical Engineering. ISSN 0974-2158 Volume 10, Number 3 (2017), pp. 323-335 International Research Publication House http://www.irphouse.com Minimizing the Sub Threshold Leakage

More information

STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS

STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS Mrs. K. Srilakshmi 1, Mrs. Y. Syamala 2 and A. Suvir Vikram 3 1 Department of Electronics and Communication

More information