induced Aging g Co-optimization for Digital ICs

Size: px
Start display at page:

Download "induced Aging g Co-optimization for Digital ICs"

Transcription

1 International Workshop on Emerging g Circuits and Systems (2009) Leakage power and NBTI- induced Aging g Co-optimization for Digital ICs Yu Wang Assistant Prof. E.E. Dept, Tsinghua University, China

2 On-going Work in NICS CAD Group Reliability/power aware design methodology NBTI+Leakage [ISQED/DATE/ISLPED 2009] Soft error [ISQED 2008] Power gating aware MPSoC scheduling [ISVLSI 2009] [submitted to CASES 2009] Parallel Circuit Partitioning and Simulation DCCB based circuit partitioning [submitted to ASICON2009] GPU/FPGA based machine learning acceleration [FPL 2009] [submitted to ICPADS 2009]

3 Background: Leakage The circuit total power(p total)can be calculated as: Ptotal = Pswitch + Pshortcircuit + Pleakage dynamic power { g static power As technology scales, the dynamic power of one transistor decreases, while leakage power increases. Leakage is more than 50% of the total power. The modeling and optimization has been studied for 10 years or more.

4 Leakage reduction techniques Standby time techniques Power Gating Input Vector Control Body biasing adapt Vth during the standby time Adapt Vdd during the standby time Run time techniques DVTS dynamic Vth scaling DVS dynamic Vdd scaling Design time techniques Dual Vth Dual Vdd Gate sizing

5 Aging due to NBTI Negative Bias Temperature Instability Conditions PMOS transistor NBTI Negatively biased elevated temperature Impact of NBTI on circuit performance a shift in threshold voltage a significant increase in the delay of PMOS devices, and result in about 10-20% degradation in circuit speed

6 NBTI mitigation techniques Lower temperature, Vdd, and signal probability [DAC06] NBTI-aware Sizing [DATE06] NBTI-aware Synthesis [DAC07] Guard banding [ASPDAC08] Dynamic Adjustment (Vdd, Vth) [ASPDAC09] Input Vector control [DATE 07, MICRO 07] Memory NBTI mitigation [ISQED06]

7 Power and Robustness Trade-off Low power antagonistic to robust design Increased sensitivity to Vt variation in low voltage operation Clock gating and low power modes increase power grid noise Power optimization equalizes path delays Conversely: Design margins increase circuit robustness at tthe expense of power # of paths # of paths Critical path delay delay POWER OPTIMIZATION delay

8 Leakage and NBTI co-optimization Simulation platform Static and Statistical Optimization method Input vector control [DATE 2007, ISQED 2009] Internal node control [DATE 2009] Supply Voltage Assignment [ISLPED 2009]

9 Leakage and NBTI co-optimization Simulation platform Static and Statistical Optimization method Input vector control [DATE 2007, ISQED 2009] Internal node control [DATE 2009] Supply Voltage Assignment [ISLPED 2009]

10 Simulation Platform Active time Statistical Signal Probability (SP) of inputs Standby time Input Vector Generator Timing i Libs Commercial Static Timing Analysis tool Logic Simulator Run time internal node SP Standby time internal node state Potential Critical Paths (PCP) Transistor level NBTI modeling Path-based NBTI-aware Timing Analysis Lookup table based leakage calculation NBTI-induced circuit degradation and leakage power

11 Do once for the whole simlulation Active time Statistical Signal Probability (SP) of inputs Standby time Input Vector Generator Timing Libs Commercial Static Timing Analysis tool Logic Simulator Run time internal node SP Standby time internal node state Potential Critical Paths (PCP) Transistor level NBTI modeling Path-based NBTI-aware Timing Analysis Lookup table based leakage calculation NBTI-induced circuit degradation and leakage power

12 Active time Statistical Signal Probability bbili (SP) of inputs Standby time Input Vector Generator Timing Libs Commercial Static ti Timing i Analysis tool Logic Simulator Run time internal node SP Standby time internal node state Potential Critical Paths (PCP) Transistor level NBTI modeling Path-based NBTI-aware Timing Analysis Lookup table based leakage calculation NBTI-induced circuit degradation and leakage power

13 IVC for both Leakage and NBTI Both depend on the input vectors For NBTI 1 is the best input for NBTI 0i is the worst For Leakage, it is not the same story the best case input patterns to mitigate the leakage for NAND/AND/INV gates are all 0 s at the inputs for NOR/OR/BUF gates are all 1 s 1s at the inputs. That is why we may get a trade off between leakage and NBTI Input Vector control can compensate 30% delay degradation induced by NBTI, and mitigate t 10% total t leakage. But the efficacy is limited it because input vectors can only control limited stages of internal circuits. The theoretic potential of node control for NBTI compensation could be up to 70%.

14 Leakage and NBTI Distributions NBTI and leakage distributions for different circuits

15 Input dependency of NBTI and leakage Low leakage Low leakage and degradation Low degradation

16 Why Internal Node Control? We need to find the optimal input vectors for low leakage and degradation IVC? Finding the optimal Input Vector is time consuming The effect of IVC will be diminished when the circuit becomes larger INC can control more internal gates in the circuit

17 Internal Node Control for Leakage Gate Replacement DAC 2005,2006 Control Point Insertion TCASII Sleep transistor insertion DATE 2007 G G

18 Why we choose gate replacement Gate Replacement Topology not changed Gate structure not changed Standard library can be directly used G G Changed gate structure Changed circuit topology

19 Internal node control For leakage G G For NBTI DGR [TVLSI06] and DCBGR[DAC06] algorithm for cooptimization. DGR: Direct Gate Replacement. First NBTI in Critical path, and then leakage in the non-critical path DCBGR: Divide the circuit into trees, and then perform the NBTI and leakage optimization.

20 An example for C17

21 Implementations C++ for most of the codes with PrimeTime 65nm library Some key technology parameters are: Vdd = 1.0V ; Vth = 0.20V; Tox= 1.2nm ISCAS85 benchmark and some ALU circuits are used to evaluate our algorithms. Tactive and Tstandby are both set to be 378K Ratio of active and standby time is set to be 1:9. Input probabilities of all the input nodes at active time to 0.5 for simplicity. The circuit lifetime is set to be 10 years. 5% performance relaxation ation at time 0 is allowed

22 INC results (1) Results of 10K random IV search in DGR

23 INC results (2):DGR for leakage or NBTI only INC is more effective than IVC Larger circuits lead to better results Area increase is different for leakage and NBTI Compare to the best result of IVC

24 INC results (3):DGR for leakage and NBTI Compare with the results of IVC for simultaneously NBTI and leakage reduction INC is more effective than IVC Larger circuits lead to better results

25 INC results (4): DCBGR for leakage and NBTI simultaneous

26 INC is more effective than IVC. INC results For larger circuits, it INC get better results than average. DCBGR algorithm is better than DGR algorithms, faster and better. d0 is the original circuit delay at time 0 d01 is the original circuit delay at10years d1 is the delay after gate replacement at time 0 d11 is the circuit degradation after gate replacement at 10years Our percentage %=[ 1 - (d11-d0 ) / (d01-d0 ) ] *100%

27 Leakage and NBTI co-optimization Simulation platform Static and Statistical Optimization method Input vector control [DATE 2007, ISQED 2009] Internal node control [DATE 2009] Supply Voltage Assignment [ISLPED 2009]

28 Statistical platform Leakage lookup table Input vector Circuit net list Logic simulator Transistor level NBTI modeling Timing libs Statistical i leakage Statistical i timing i power calculation analysis The result of statistical leakage and NBTI-aware circuit delay

29 Statistical Model (1) Vth variation model, assume that Vth can be modeled as a Gaussian distribution. 1 2 μ V th th 1 σ th PDF( Vth) = e 2πσth Assume that the logically close gates are also spatially close, and the threshold voltage of gate v i is correlated with some nearest gates, each correlation coefficient is: ρ ( v, v ) = ρ ( ρ > ρ i i± k k i j for any i < j ) 2

30 Statistical Model (2) The threshold voltage of each gate v i is expressed as linear combinations of its mean value and random variables: n = + Δ + Δ + Δ = = V V a V a V a V ith, i 0 i j i + j j i j j 1 j 1 Assume that a i s are Gaussian distribution, then ρ i s can be calculated as: ρ k = n k 0 k i i+ k i j i= 1 i+ j= k, i 1, j 1 n 2 2 a0 + 2 ai i= 1 2aa + 2 aa + aa n

31 Supply Voltage Assignment Technique Dual Vdd Assignment + Dynamic Vdd Scaling Why dual Vdd? High Vdd is used to compensate for NBTI-induced degradation on critical gates, while low Vdd is used to reduce leakage power on other gates. Why dynamic scaling? One-time solutions at design time will lead to large power and area overhead. Variations will affect the circuit performance. First Step: Dual Vdd Assignment Second Step: Dynamic Vdd Scaling

32 Supply Voltage Assignment Technique Second Step: Dynamic Vdd Scaling Delay specification Determine the optimal high Vdd, to ensure NBTI-induced degradation and leakage power are simultaneously minimized during the V ddhigh (t i ) V ddhigh (t i+1 ) following time interval. t t t F = A ( μ ) ( ) Dt ( 1) + 3σ ( 1) ( ) 3 i Dt + B μ i Lt + σ + + i Lt ( i) Determine the optimal low Vdd, to reduce leakage power as more as possible during the following time interval. D () v = D () v + C D () v relax current slack Predict the next time node at which the circuit delay will exceed the specification and the supply voltages need to be scaled again. [CICC 2008][ASPDAC2009] μ Td n () t = μ (0)(1 + Ct ) Td i-1 i i+1

33 Simulation Results Delay Distribution considering NBTI and Vth variation Proba ability Di istributio on Funct tion Delay(ns)

34 Simulation Results NBTI induced degradation comparison (C1908) 5.5 Nominal delay Our approach De elay mean n(ns) E E E E E E+10 Time(second)

35 Simulation Results Leakage power comparison (c1908) 7 Leakage e mean( (1E-4mW W) Nominal leakage Our approach 5 1.0E E E E E E Time(second)

36 Simulation Results The voltage scaling (c1908 vs c7552) ) Voltage(V) High Vdd Low Vdd E+00 1.E+02 1.E+04 1.E+06 1.E+08 1.E+10 Time(s) c1908 Voltage(V) High Vdd Low Vdd E E E E E E+10 Time(second) c7552

37 37 Simulation Results

38 Simulation Results Comparing with Single Vdd Assignment Delay(ns s) 5.2 Delay (Dual Vdd) 10 Delay (Single Vdd) Leakage (Dual Vdd) 8 5 Leakage (Single Vdd) E+00 1.E+02 1.E+04 1.E+06 1.E+08 1.E+10 Time(s) Le eakage power(1e E-4mW)

39 Simulation Results Compare scheduled technique [ASPDAC2009] with our SVA for c499 Delay mean(ns) Nominal design Scheduled voltage scaling Our approach 2 1.0E E E E E E+10 Time(s) 39

40 Conclusions We build a NBTI and leakage co-optimization platform. We try to investigate some gate level optimization techniques for NBTI and leakage co-optimization. Input vector control Internal node control Supply Voltage Assignment NBTI/leakage co-optimization in other design levels will be our future work.

41 Acknowledgement NSF of China MSRA AMD 863 project My collaborators from Tsinghua University, ASU, and PSU.

42 Thank you for your attention. Q & A

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b.

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. a PGMICRO, Federal University of Rio Grande do Sul, Porto Alegre, Brazil b Institute

More information

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3 [Partly adapted from Irwin and Narayanan, and Nikolic] 1 Reminders CAD assignments Please submit CAD5 by tomorrow noon CAD6 is due

More information

Temperature-aware NBTI modeling and the impact of input vector control on performance degradation

Temperature-aware NBTI modeling and the impact of input vector control on performance degradation Temperature-aware NBTI modeling and the impact of input vector control on performance degradation Yu Wang, Hong Luo, Ku He, Rong Luo, Huazhong Yang Circuits and Systems Division, E.E. Dept., Tsinghua University,

More information

Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates

Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates Seyab Khan Said Hamdioui Abstract Bias Temperature Instability (BTI) and parameter variations are threats to reliability

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Leakage Current Analysis

Leakage Current Analysis Current Analysis Hao Chen, Latriese Jackson, and Benjamin Choo ECE632 Fall 27 University of Virginia , , @virginia.edu Abstract Several common leakage current reduction methods such

More information

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs 1 Outline Variations Process, supply voltage, and temperature

More information

CIRCUIT reliability is one of the major concerns in VLSI

CIRCUIT reliability is one of the major concerns in VLSI 756 IEEE TRANSACTIONS ON DEPENDABLE AND SECURE COMPUTING, VOL. 8, NO. 5, SEPTEMBER/OCTOBER 2011 Temperature-Aware NBTI Modeling and the Impact of Standby Leakage Reduction Techniques on Circuit Performance

More information

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique Total reduction of leakage power through combined effect of Sleep and variable body biasing technique Anjana R 1, Ajay kumar somkuwar 2 Abstract Leakage power consumption has become a major concern for

More information

ISSN:

ISSN: 1061 Area Leakage Power and delay Optimization BY Switched High V TH Logic UDAY PANWAR 1, KAVITA KHARE 2 12 Department of Electronics and Communication Engineering, MANIT, Bhopal 1 panwaruday1@gmail.com,

More information

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. Power and Energy Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu The Chip is HOT Power consumption increases

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

On-silicon Instrumentation

On-silicon Instrumentation On-silicon Instrumentation An approach to alleviate the variability problem Peter Y. K. Cheung Department of Electrical and Electronic Engineering 18 th March 2014 U. of York How we started (in 2006)!

More information

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Microelectronics Journal 39 (2008) 1714 1727 www.elsevier.com/locate/mejo Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Ranjith Kumar, Volkan Kursun Department

More information

Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective

Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective S. P. Mohanty, R. Velagapudi and E. Kougianos Dept of Computer Science and Engineering University of North Texas

More information

POWER GATING. Power-gating parameters

POWER GATING. Power-gating parameters POWER GATING Power Gating is effective for reducing leakage power [3]. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

Improving Design Reliability By Avoiding EOS. Matthew Hogan, Mentor Graphics

Improving Design Reliability By Avoiding EOS. Matthew Hogan, Mentor Graphics Improving Design Reliability By Avoiding EOS. Matthew Hogan, Mentor Graphics BACKGROUND With the advent of more complex design requirements and greater variability in operating environments, electrical

More information

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks Logic Restructuring Revisited Low Power VLSI System Design Lectures 4 & 5: Logic-Level Power Optimization Prof. R. Iris ahar September 8 &, 7 Logic restructuring: hanging the topology of a logic network

More information

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code:

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code: Global Journal of researches in engineering Electrical and electronics engineering Volume 12 Issue 3 Version 1.0 March 2012 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global

More information

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment 1014 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 7, JULY 2005 Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment Dongwoo Lee, Student

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak Narayanan 1 Mr.G.RajeshBabu 2

A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak Narayanan 1 Mr.G.RajeshBabu 2 IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 03, 2016 ISSN (online): 2321-0613 A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak

More information

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 5 Ver. II (Sep Oct. 2015), PP 109-115 www.iosrjournals.org Reduce Power Consumption

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

EECS 427 Lecture 22: Low and Multiple-Vdd Design

EECS 427 Lecture 22: Low and Multiple-Vdd Design EECS 427 Lecture 22: Low and Multiple-Vdd Design Reading: 11.7.1 EECS 427 W07 Lecture 22 1 Last Time Low power ALUs Glitch power Clock gating Bus recoding The low power design space Dynamic vs static EECS

More information

New Approaches to Total Power Reduction Including Runtime Leakage. Leakage

New Approaches to Total Power Reduction Including Runtime Leakage. Leakage 1 0 0 % 8 0 % 6 0 % 4 0 % 2 0 % 0 % - 2 0 % - 4 0 % - 6 0 % New Approaches to Total Power Reduction Including Runtime Leakage Dennis Sylvester University of Michigan, Ann Arbor Electrical Engineering and

More information

Ruixing Yang

Ruixing Yang Design of the Power Switching Network Ruixing Yang 15.01.2009 Outline Power Gating implementation styles Sleep transistor power network synthesis Wakeup in-rush current control Wakeup and sleep latency

More information

VOLTAGE AND TIMING ADAPTATION FOR VARIATION AND AGING TOLERANCE IN NANOMETER VLSI CIRCUITS. A Dissertation KYU-NAM SHIM

VOLTAGE AND TIMING ADAPTATION FOR VARIATION AND AGING TOLERANCE IN NANOMETER VLSI CIRCUITS. A Dissertation KYU-NAM SHIM VOLTAGE AND TIMING ADAPTATION FOR VARIATION AND AGING TOLERANCE IN NANOMETER VLSI CIRCUITS A Dissertation by KYU-NAM SHIM Submitted to the Office of Graduate Studies of Texas A&M University in partial

More information

NBTI and Process Variation Circuit Design Using Adaptive Body Biasing

NBTI and Process Variation Circuit Design Using Adaptive Body Biasing IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 2, Ver. III (Mar-Apr. 2014), PP 91-98 e-issn: 2319 4200, p-issn No. : 2319 4197 NBTI and Process Variation Circuit Design Using Adaptive

More information

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise?

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise? Power Supply Networs: Analysis and Synthesis What is Power Supply Noise? Problem: Degraded voltage level at the delivery point of the power/ground grid causes performance and/or functional failure Lower

More information

CSAM: A Clock Skew-aware Aging Mitigation Technique

CSAM: A Clock Skew-aware Aging Mitigation Technique CSAM: A Clock Skew-aware Aging Mitigation Technique Behzad Eghbalkhah 1, Mehdi Kamal 1, Ali Afzali-Kusha 1, Mohammad Bagher Ghaznavi-Ghoushchi 2, Massoud Pedram 3 ABSTRACT 1 School of Electrical and Computer

More information

Minimization of Dynamic and Static Power Through Joint Assignment of Threshold Voltages and Sizing Optimization

Minimization of Dynamic and Static Power Through Joint Assignment of Threshold Voltages and Sizing Optimization Minimization of Dynamic and Static Power Through Joint Assignment of Threshold Voltages and Sizing Optimization David Nguyen, Abhijit Davare, Michael Orshansky, David Chinnery, Brandon Thompson, and Kurt

More information

Dynamic Voltage and Frequency Scaling for Power- Constrained Design using Process Voltage and Temperature Sensor Circuits

Dynamic Voltage and Frequency Scaling for Power- Constrained Design using Process Voltage and Temperature Sensor Circuits Journal of Information Processing Systems, Vol.7, No.1, March 2011 DOI : 10.3745/JIPS.2011.7.1.093 Dynamic Voltage and Frequency Scaling for Power- Constrained Design using Process Voltage and Temperature

More information

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm EE241 - Spring 2011 dvanced Digital Integrated Circuits Lecture 20: High-Performance Logic Styles nnouncements Quiz #3 today Homework #4 posted This lecture until 4pm Reading: Chapter 8 in the owhill text

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 9: Noise Sources Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 5 Report and Prelab 6 due Apr. 3 Stateye

More information

Cherry Picking: Exploiting Process Variations in the Dark Silicon Era

Cherry Picking: Exploiting Process Variations in the Dark Silicon Era Cherry Picking: Exploiting Process Variations in the Dark Silicon Era Siddharth Garg University of Waterloo Co-authors: Bharathwaj Raghunathan, Yatish Turakhia and Diana Marculescu # Transistors Power/Dark

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Microelectronics Journal

Microelectronics Journal Microelectronics Journal 42 (211) 1327 1334 Contents lists available at SciVerse ScienceDirect Microelectronics Journal journal homepage: www.elsevier.com/locate/mejo Impact of NBTI on performance of domino

More information

Effect of Aging on Power Integrity of Digital Integrated Circuits

Effect of Aging on Power Integrity of Digital Integrated Circuits Effect of Aging on Power Integrity of Digital Integrated Circuits A. Boyer, S. Ben Dhia Alexandre.boyer@laas.fr Sonia.bendhia@laas.fr 1 May 14 th, 2013 Introduction and context Long time operation Harsh

More information

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop)

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) March 2016 DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) Ron Newhart Distinguished Engineer IBM Corporation March 19, 2016 1 2016 IBM Corporation Background

More information

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates R Ravikumar Department of Micro and Nano Electronics, VIT University, Vellore, India ravi10ee052@hotmail.com

More information

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 7. Clocked and self-resetting logic I

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 7. Clocked and self-resetting logic I EE E6930 Advanced Digital Integrated Circuits Spring, 2002 Lecture 7. Clocked and self-resetting logic I References CBF, Chapter 8 DP, Section 4.3.3.1-4.3.3.4 Bernstein, High-speed CMOS design styles,

More information

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1 DATE 2006 Special Session: DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations in Digital, Analog and Mixed-Signal Circuit Design DATE 06 Munich, March 8th, 2006 Presenter

More information

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies Low-Power and Process Variation Tolerant Memories in sub-9nm Technologies Saibal Mukhopadhyay, Swaroop Ghosh, Keejong Kim, and Kaushik Roy Dept. of ECE, Purdue University, West Lafayette, IN, @ecn.purdue.edu

More information

Control Synthesis and Delay Sensor Deployment for Efficient ASV designs

Control Synthesis and Delay Sensor Deployment for Efficient ASV designs Control Synthesis and Delay Sensor Deployment for Efficient ASV designs C H A O FA N L I < C H AO F @ TA M U. E D U >, T E X A S A & M U N I V E RS I T Y S A C H I N S. S A PAT N E K A R, U N I V E RS

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

Improved DFT for Testing Power Switches

Improved DFT for Testing Power Switches Improved DFT for Testing Power Switches Saqib Khursheed, Sheng Yang, Bashir M. Al-Hashimi, Xiaoyu Huang School of Electronics and Computer Science University of Southampton, UK. Email: {ssk, sy8r, bmah,

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

RELIABILITY ANALYSIS OF DYNAMIC LOGIC CIRCUITS UNDER TRANSISTOR AGING EFFECTS IN NANOTECHNOLOGY

RELIABILITY ANALYSIS OF DYNAMIC LOGIC CIRCUITS UNDER TRANSISTOR AGING EFFECTS IN NANOTECHNOLOGY RELIABILITY ANALYSIS OF DYNAMIC LOGIC CIRCUITS UNDER TRANSISTOR AGING EFFECTS IN NANOTECHNOLOGY A thesis work submitted to the faculty of San Francisco State University In partial fulfillment of The Requirements

More information

International Journal of Innovative Research in Technology, Science and Engineering (IJIRTSE) Volume 1, Issue 1.

International Journal of Innovative Research in Technology, Science and Engineering (IJIRTSE)   Volume 1, Issue 1. Standard Cell Design with Low Leakage Using Gate Length Biasing in Cadence Virtuoso and ALU Using Power Gating Sleep Transistor Technique in Soc Encounter Priyanka Mehra M.tech, VLSI Design SRM University,

More information

Lecture 10. Circuit Pitfalls

Lecture 10. Circuit Pitfalls Lecture 10 Circuit Pitfalls Intel Corporation jstinson@stanford.edu 1 Overview Reading Lev Signal and Power Network Integrity Chandrakasen Chapter 7 (Logic Families) and Chapter 8 (Dynamic logic) Gronowski

More information

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems EDA Challenges for Low Power Design Anand Iyer, Cadence Design Systems Agenda Introduction ti LP techniques in detail Challenges to low power techniques Guidelines for choosing various techniques Why is

More information

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Anjana R 1, Dr. Ajay kumar somkuwar 2 1 Asst.Prof & ECE, Laxmi Institute of Technology, Gujarat 2 Professor

More information

Wide Fan-In Gates for Combinational Circuits Using CCD

Wide Fan-In Gates for Combinational Circuits Using CCD Wide Fan-In Gates for Combinational Circuits Using CCD Mekala.S Post Graduate Scholar, Nandha Engineering College, Erode, Tamil Nadu, India Abstract: A new domino circuit is proposed with low leakage and

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE

Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE Abstract Employing

More information

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available Timing Analysis Lecture 9 ECE 156A-B 1 General Timing analysis can be done right after synthesis But it can only be accurately done when layout is available Timing analysis at an early stage is not accurate

More information

Fast Statistical Timing Analysis By Probabilistic Event Propagation

Fast Statistical Timing Analysis By Probabilistic Event Propagation Fast Statistical Timing Analysis By Probabilistic Event Propagation Jing-Jia Liou, Kwang-Ting Cheng, Sandip Kundu, and Angela Krstić Electrical and Computer Engineering Department, University of California,

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

Variation Impact on SER of Combinational Circuits

Variation Impact on SER of Combinational Circuits Variation Impact on SER of Combinational Circuits K. Ramakrishnan, R. Rajaraman, S. Suresh, N. Vijaykrishnan, Y. Xie, M. J. Irwin Microsystems Design Laboratory, Pennsylvania State University, University

More information

Variability mitigation techniques in nanometer CMOS technologies. Dídac Gómez, Joan Mauricio, Diego Mateo, Francesc Moll

Variability mitigation techniques in nanometer CMOS technologies. Dídac Gómez, Joan Mauricio, Diego Mateo, Francesc Moll Variability mitigation techniques in nanometer CMOS technologies Dídac Gómez, Joan Mauricio, Diego Mateo, Francesc Moll Research framework ENIAC project MODERN (2009-2012) 27 European institutions (Industry

More information

A Novel Multiplier Design using Adaptive Hold Logic to Mitigate BTI Effect

A Novel Multiplier Design using Adaptive Hold Logic to Mitigate BTI Effect GRD Journals Global Research and Development Journal for Engineering International Conference on Innovations in Engineering and Technology (ICIET) - 2016 July 2016 e-issn: 2455-5703 A Novel Multiplier

More information

METHODS FOR TRUE ENERGY- PERFORMANCE OPTIMIZATION. Naga Harika Chinta

METHODS FOR TRUE ENERGY- PERFORMANCE OPTIMIZATION. Naga Harika Chinta METHODS FOR TRUE ENERGY- PERFORMANCE OPTIMIZATION Naga Harika Chinta OVERVIEW Introduction Optimization Methods A. Gate size B. Supply voltage C. Threshold voltage Circuit level optimization A. Technology

More information

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) CSE 493/593 Test 2 Fall 2011 Solution 1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) Decreasing of W to make the gate slower,

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

Tiago Reimann Cliff Sze Ricardo Reis. Gate Sizing and Threshold Voltage Assignment for High Performance Microprocessor Designs

Tiago Reimann Cliff Sze Ricardo Reis. Gate Sizing and Threshold Voltage Assignment for High Performance Microprocessor Designs Tiago Reimann Cliff Sze Ricardo Reis Gate Sizing and Threshold Voltage Assignment for High Performance Microprocessor Designs A grain of rice has the price of more than a 100 thousand transistors Source:

More information

Exploring High-Speed Low-Power Hybrid Arithmetic Units at Scaled Supply and Adaptive Clock-Stretching

Exploring High-Speed Low-Power Hybrid Arithmetic Units at Scaled Supply and Adaptive Clock-Stretching Exploring High-Speed Low-Power Hybrid Arithmetic Units at Scaled Supply and Adaptive Clock-Stretching Swaroop Ghosh and Kaushik Roy School of Electrical and Computer Engineering, Purdue University, West

More information

Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing *

Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing * Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing * Radu Teodorescu, Jun Nakano, Abhishek Tiwari and Josep Torrellas University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu

More information

Comparison of Power Dissipation in inverter using SVL Techniques

Comparison of Power Dissipation in inverter using SVL Techniques Comparison of Power Dissipation in inverter using SVL Techniques K. Kalai Selvi Assistant Professor, Dept. of Electronics & Communication Engineering, Government College of Engineering, Tirunelveli, India

More information

Design A Redundant Binary Multiplier Using Dual Logic Level Technique

Design A Redundant Binary Multiplier Using Dual Logic Level Technique Design A Redundant Binary Multiplier Using Dual Logic Level Technique Sreenivasa Rao Assistant Professor, Department of ECE, Santhiram Engineering College, Nandyala, A.P. Jayanthi M.Tech Scholar in VLSI,

More information

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays,

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays, EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture 8: Gate delays, Variability Announcements Project proposals due today Title Team members ½ page ~5 references Post it on your EECS web page

More information

Sub-threshold Logic Circuit Design using Feedback Equalization

Sub-threshold Logic Circuit Design using Feedback Equalization Sub-threshold Logic Circuit esign using Feedback Equalization Mahmoud Zangeneh and Ajay Joshi Electrical and Computer Engineering epartment, Boston University, Boston, MA, USA {zangeneh, joshi}@bu.edu

More information

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS.

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. Abstract This paper presents a novel SRAM design for nanoscale CMOS. The new design addresses

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 6 Combinational CMOS Circuit and Logic Design Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Advanced Reliable Systems (ARES) Lab. Jin-Fu Li,

More information

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ Hendrawan Soeleman, Kaushik Roy, and Bipul Paul Purdue University Department of Electrical and Computer Engineering West Lafayette, IN 797, USA fsoeleman,

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES R. C Ismail, S. A. Z Murad and M. N. M Isa School of Microelectronic Engineering, Universiti Malaysia Perlis, Arau, Perlis, Malaysia

More information

Jan Rabaey, «Low Powere Design Essentials," Springer tml

Jan Rabaey, «Low Powere Design Essentials, Springer tml Jan Rabaey, «e Design Essentials," Springer 2009 http://web.me.com/janrabaey/lowpoweressentials/home.h tml Dimitrios Soudris, Christian Piguet, and Costas Goutis, Designing CMOS Circuits for Low POwer,

More information

Bridging the Gap between Dreams and Nano-Scale Reality

Bridging the Gap between Dreams and Nano-Scale Reality Bridging the Gap between Dreams and Nano-Scale Reality Ban P. Wong Design Methodology, Chartered Semiconductor wongb@charteredsemi.com 28 July 2006 Outline Deficiencies in Boolean-based Design Rules in

More information

A Case for Opportunistic Embedded Sensing In Presence of Hardware Power Variability

A Case for Opportunistic Embedded Sensing In Presence of Hardware Power Variability A Case for Opportunistic Embedded Sensing In Presence of Hardware Power Variability L. Wanner, C. Apte, R. Balani, Puneet Gupta, and Mani Srivastava University of California, Los Angeles puneet@ee.ucla.edu

More information

Self-Calibration Technique for Reduction of Hold Failures in Low-Power Nano-scaled SRAM

Self-Calibration Technique for Reduction of Hold Failures in Low-Power Nano-scaled SRAM Self-Calibration Technique for Reduction of Hold Failures in Low-Power Nano-scaled SRAM Swaroop Ghosh, Saibal Mukhopadhyay, Keejong Kim, and, Kaushik Roy School of Electrical and Computer Engineering,

More information

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Voltage IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Sunil

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

Low Power Design in VLSI

Low Power Design in VLSI Low Power Design in VLSI Evolution in Power Dissipation: Why worry about power? Heat Dissipation source : arpa-esto microprocessor power dissipation DEC 21164 Computers Defined by Watts not MIPS: µwatt

More information

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES 41 In this chapter, performance characteristics of a two input NAND gate using existing subthreshold leakage

More information

Dual Threshold Voltage Design for Low Power VLSI Circuits

Dual Threshold Voltage Design for Low Power VLSI Circuits Dual Threshold Voltage Design for Low Power VLSI Circuits Sampangi Venkata Suresh M.Tech, Santhiram Engineering College, Nandyal. ABSTRACT: The high growth of the semiconductor trade over the past twenty

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION 2 1.1 MOTIVATION FOR LOW POWER CIRCUIT DESIGN Low power circuit design has emerged as a principal theme in today s electronics industry. In the past, major concerns among researchers

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

Low Power Techniques for SoC Design: basic concepts and techniques

Low Power Techniques for SoC Design: basic concepts and techniques Low Power Techniques for SoC Design: basic concepts and techniques Estagiário de Docência M.Sc. Vinícius dos Santos Livramento Prof. Dr. Luiz Cláudio Villar dos Santos Embedded Systems - INE 5439 Federal

More information

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Christophe Giacomotto 1, Mandeep Singh 1, Milena Vratonjic 1, Vojin G. Oklobdzija 1 1 Advanced Computer systems Engineering Laboratory,

More information

A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application

A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application Rumi Rastogi and Sujata Pandey Amity University Uttar Pradesh, Noida, India Email: rumi.ravi@gmail.com,

More information

Impact of Adaptive Voltage Scaling on Aging-Aware Signoff

Impact of Adaptive Voltage Scaling on Aging-Aware Signoff Impact of Adaptive Voltage Scaling on Aging-Aware Signoff Tuck-Boon Chan, Wei-Ting Jonas Chan and Andrew B. Kahng ECE and CSE Departments, UC San Diego, La Jolla, CA 909 {tbchan, wechan, abk}@ucsd.edu

More information

Towards PVT-Tolerant Glitch-Free Operation in FPGAs

Towards PVT-Tolerant Glitch-Free Operation in FPGAs Towards PVT-Tolerant Glitch-Free Operation in FPGAs Safeen Huda and Jason H. Anderson ECE Department, University of Toronto, Canada 24 th ACM/SIGDA International Symposium on FPGAs February 22, 2016 Motivation

More information