Towards PVT-Tolerant Glitch-Free Operation in FPGAs

Size: px
Start display at page:

Download "Towards PVT-Tolerant Glitch-Free Operation in FPGAs"

Transcription

1 Towards PVT-Tolerant Glitch-Free Operation in FPGAs Safeen Huda and Jason H. Anderson ECE Department, University of Toronto, Canada 24 th ACM/SIGDA International Symposium on FPGAs February 22, 2016

2 Motivation *Google data centre FPGA power increasingly critical because of new markets Data centers Mobile electronics Previous studies have shown glitch power is significant contributor to overall dynamic power consumption: Shum et al. [1] : ~26% of core dynamic power Lamoureaux et al. [2]: ~30% of signal transitions are due to glitches 2

3 Reviewing ` X 1 X 2 Y Unequal arrival times on input pins of combinational logic may result in spurious transitions These spurious transitions dissipate power 3

4 Previous Approaches Path Delay Balancing Δt t g Δt t g ` X 1 X 2 Δt OUT t g t g Add delay to fast-arriving inputs, equalize delay difference Delay balance circuitry may have low overhead [2,3] 4

5 Delay Predictability of FPGA Circuitry V DD V DD M 1 V DD V DD Pass transistor mux M 2 M 3 M 5 M 6 M 7 CMOS Buffer FPGAs consist of CMOS and pass-gate based circuitry CMOS gates Rise/Fall delay inversely proportional to μ, (V DD V T ) NMOS pass-gates M 4 Fall delay similar to CMOS Rise delay has inverse quadratic relationship with (Vdd V T ) 5

6 Delay Predictability of FPGA Circuitry V DD V DD M 1 V DD V DD Pass transistor mux M 2 M 3 M 5 M 6 M 7 CMOS Buffer M 4 Two styles of circuitry have different sensitivities to temperature Rise/Fall delay balance hard to ensure with NMOS pass-gates 6

7 LUT Delay Characteristics Delay (ps) c Rise Delay 85c Rise Delay 0c Fall Delay 85c Fall Delay A B C D E F LUT Input Stratix-III LUT delays for each input for different temp. Inputs A & B have negative temperature dependence, large rise/fall delay imbalance Inputs C F have different delay characteristics 7

8 Limitation of Path Delay Balancing Difficult to balance input delays over all logic states and operating conditions! 0º Celsius 85º Celsius ` ` X 1 X 2 OUT X 1 X 2 OUT t g t g Set Δt = t g Set Δt = t g ` ` X 1 X 2 Δt OUT X 1 X 2 Δt OUT t g Δt 8

9 Proposed Glitch Reduction Technique Want: Reliable glitch reduction over all PVT corners Glitch reduction technique applicable over a wide range of circuits Low cost This work: Replace glitch filtering FF/latch with asynchronous element Eliminates cost and granularity problems of synchronous technique ` Y G F OUT t g t g D Q D Q Ф CLK Ф CLK 9

10 Asynchronous Glitch Filtering Circuitry INPUT STAGE GLITCH FILTERING STAGE OUTPUT STAGE V DD V DD M 7 M 6 IN B 1 GATING CIRCUITRY OUT M 5 Delay Line Output stage disconnected from input immediately following transition at input stage Transition must propagate through delay-line before being sampled by output 10

11 Asynchronous Glitch Filtering Circuitry INPUT STAGE GLITCH FILTERING STAGE OUTPUT STAGE V DD V DD M 7 M 6 IN B 1 GATING CIRCUITRY OUT M 5 Delay Line If input is a pulse with width t pw < t d (delay line delay), will not appear at output Programmable delay-line allows glitches of varying widths to be filtered 11

12 Asynchronous Glitch Filtering Circuitry INPUT STAGE GLITCH FILTERING STAGE OUTPUT STAGE V DD V DD M 6 M 7 IN B 1 GATING CIRCUITRY OUT M 5 Delay Line If input is a pulse with width t pw < t d (delay line delay), will not appear at output Programmable delay-line allows glitches of varying widths to be filtered Implies better tolerance to PVT 12

13 Asynchronous Glitch Filtering Circuitry INPUT STAGE GLITCH FILTERING STAGE OUTPUT STAGE V DD V DD M 7 M 6 IN B 1 GATING CIRCUITRY OUT M 5 Delay Line Cost of glitch filtering: When used, delay penalty t D (hundreds of ps to ns range) Cannot apply to all paths Only use to slack fill 13

14 Asynchronous Glitch Filtering Circuitry INPUT STAGE GLITCH FILTERING STAGE OUTPUT STAGE V DD V DD M 7 M 6 IN B 1 GATING CIRCUITRY OUT M 5 Delay Line Cost of glitch filtering: When unused, delay penalty ~30ps (can be much smaller with improved topology) 14

15 Asynchronous Glitch Filtering Circuitry INPUT STAGE GLITCH FILTERING STAGE OUTPUT STAGE V DD V DD M 7 M 6 IN B 1 GATING CIRCUITRY OUT M 5 Delay Line Cost of glitch filtering: Area: small (will be shown later), dominated by delay-line range and granularity 15

16 Proposed Architecture BLE Augment BLE output buffer with asynchronous glitch filtering circuitry GFC s programmable delay line allows glitch suppression/slack trade-off to be made at each BLE output GFC s delay line setting determined by CAD flow K-LUT D Q G F C Output buffer augmented with glitch filtering circuitry 16

17 CAD Flow Benchmark Post-routing delay annotated netlist Quartus compilation (map, fit, asm, sta, eda) Func. sim (Modelsim) Timing sim (Modelsim).vcd file Glitch power analysis Glitch power data Diff Power Analysis (PowerPlay) Power Analysis (PowerPlay) Glitch statistics for each node in the circuit Diff Glitch filter setting optimization Final Power Analysis (Modelsim timing simulation + Glitch filter settings Quartus PowerPlay).vcd file Power savings Uses Quartus for compilation + power analysis Modelsim for timing and functional analysis Custom routines for extraction of glitch power statistics + optimization 17

18 CAD Flow Benchmark Post-routing delay annotated netlist Quartus compilation (map, fit, asm, sta, eda) Func. sim (Modelsim) Timing sim (Modelsim).vcd file Glitch power analysis Glitch power data Diff Power Analysis (PowerPlay) Power Analysis (PowerPlay) Glitch statistics for each node in the circuit Diff Glitch filter setting optimization Final Power Analysis (Modelsim timing simulation + Glitch filter settings Quartus PowerPlay).vcd file Power savings Compile design with Quartus, output delay annotated netlist 18

19 CAD Flow Benchmark Post-routing delay annotated netlist Quartus compilation (map, fit, asm, sta, eda) Func. sim (Modelsim) Timing sim (Modelsim).vcd file Glitch power analysis Glitch power data Diff Power Analysis (PowerPlay) Power Analysis (PowerPlay) Glitch statistics for each node in the circuit Diff Glitch filter setting optimization Final Power Analysis (Modelsim timing simulation + Glitch filter settings Quartus PowerPlay).vcd file Power savings Run Timing and Functional simulations with Modelsim 19

20 CAD Flow Benchmark Post-routing delay annotated netlist Quartus compilation (map, fit, asm, sta, eda) Func. sim (Modelsim) Timing sim (Modelsim).vcd file Glitch power analysis Glitch power data Diff Power Analysis (PowerPlay) Power Analysis (PowerPlay) Glitch statistics for each node in the circuit Diff Glitch filter setting optimization Final Power Analysis (Modelsim timing simulation + Glitch filter settings Quartus PowerPlay).vcd file Power savings Use Quartus to analyse power based on timing and func. Sims Difference between two sims corresponds to glitch power 20

21 CAD Flow Benchmark Post-routing delay annotated netlist Quartus compilation (map, fit, asm, sta, eda) Func. sim (Modelsim) Timing sim (Modelsim).vcd file Glitch power analysis Glitch power data Diff Power Analysis (PowerPlay) Power Analysis (PowerPlay) Glitch statistics for each node in the circuit Diff Glitch filter setting optimization Final Power Analysis (Modelsim timing simulation + Glitch filter settings Quartus PowerPlay).vcd file Collect glitch statistics for each node in the circuit # glitches for each pulse width Glitch power dissipated at each node Power savings 21

22 CAD Flow Benchmark Quartus compilation (map, fit, asm, sta, eda) Post-routing delay annotated netlist Func. sim (Modelsim) Timing sim (Modelsim).vcd file Glitch power analysis Glitch power data Diff Power Analysis (PowerPlay) Power Analysis (PowerPlay) Glitch statistics for each node in the circuit Diff Glitch filter setting optimization Final Power Analysis (Modelsim timing simulation + Glitch filter settings Quartus PowerPlay).vcd file Power savings Optimize power given glitch statistics and timing graph 22

23 CAD Flow Benchmark Quartus compilation (map, fit, asm, sta, eda) Post-routing delay annotated netlist Func. sim (Modelsim) Timing sim (Modelsim).vcd file Glitch power analysis Glitch power data Diff Power Analysis (PowerPlay) Power Analysis (PowerPlay) Glitch statistics for each node in the circuit Diff Glitch filter setting optimization Final Power Analysis (Modelsim timing simulation + Glitch filter settings Quartus PowerPlay).vcd file Append behavioural model of GF to netlist Run timing sim. with glitch filter settings Power savings Run power analysis with new netlist and compare w/ prev. 23

24 Glitch Filter Setting Opt. n x n z n y Given a timing graph for a circuit with nodes n i representing comb. gates, FFs, PIs, POs, etc. Edges annotated with delays 24

25 Glitch Filter Setting Opt. p n x t p n z t n y p Annotate edges with glitch power density functions GP i (t) Can be obtained through a combination of functional, timing, and power analyses t 25

26 Glitch Filter Setting Opt. p n x g x t p n z g z n y g y p t t Append nodes g i to outputs of nodes n i These represent glitch filters Delay from n i to g i corresponds to glitch filter setting at i We shall call this delay d i 26

27 Glitch Filter Setting Opt. For every choice of d i we get a corresponding glitch power decrease at node i All glitches with pulse width less than d i are suppressed Cannot arbitrarily set d i Must obey timing constraints Certain settings of d i may have adverse effects Can potentially create new glitches downstream Optimization tool must be aware of this and avoid where needed 27

28 Glitch Filter Setting Opt. Delay annotated netlist Glitch Statistics GP i (t) Formulate MILP Problem.lp file Maximize x + y + z Subject To c0: x + y = 1 c1: x + 5 y + 2 z <= 10 qc0: x + y + [ x ^ 2-2 x * y + 3 y ^ 2 ] <= 5 Bounds 0 <= x <= 5 z >= 2 Generals x y z Gurobi Optimizer Glitch filter settings Optimization problem can be described as a set of linear equations with linear constraints Cast problem as Mixed Integer Linear Program (MILP) Solve using Gurobi optimizer tool 28

29 Experimental Study Used MCNC benchmarks to assess power reduction possibilities Easy to use random vectors to perform power analysis Supplied random vectors for timing/func. simulation As previously reported, glitches account for ~26% of core dynamic power [1] Used benchmark set from UMass [4] Communications/signal processing benchmark set of 6 designs Glitch power was insignificant for one design (ava) For other designs, ranged from 5-22% of core dynamic power All delay/power/functional characterization of circuits done using STMicroelectronics 65nm models 29

30 Experimental Study Want to understand best design parameters for GF Delay setting of each GF constrained by physical limits Ideal GF delay line has: Infinite range delay can be as large as needed Infinitesimal resolution GF delay can be set to any value Real GF delay line has: Finite range maximum delay is bounded Finite resolution GF delay values quantized (100ps, 200ps, ) Finite res. and range limit ability to optimize glitch power Increased range and/or decreased res. increased area GF area dominated by delay line 30

31 Delay Line Parameters Glitch power reduction ranges from ~62% to ~72% as delay line granularity and range are varied Ideal delay line allows ~75% glitch power to be reduced Very close to ideal with real parameters 31

32 Power vs Area Overhead Used [5] to estimate LUT area and estimate GF area Assume LUT:Routing = 25:75 32

33 Power vs Area Overhead ~2% area overhead allows ~62% glitch power reduction Corresponds to ~12% decrease in core dynamic power Up to ~72% glitch power reduction at ~7% area cost ~14% core dynamic power reduction 33

34 Power vs Area Overhead For designs studied in this work, delay penalty ~1% 30ps LUT delay increase may not correspond to 1% critical path hit for other designs Can be reduced with improved GF topology 34

35 Conclusion Proposed a glitch reduction technique which overcomes some of the shortcomings of previous techniques Able to reduce glitch power by ~60-70% at an area overhead of ~2-3%, c.p. degradation ~1% Corresponds to total core dynamic power reduction of ~12-14% Future Work: Improve CAD flow Inherently pessimistic assumptions/approach A model to relate arrival time to glitch power could improve decision making significantly Investigate heuristics to replace MILP formulation Assess multi PVT corner optimization of glitch power 35

36 References [1] Shum, W. and Anderson, J.H. "FPGA glitch power analysis and reduction. IEEE ISLPED 2011 [2] Lamoureux, J. et al. "GlitchLess: Dynamic power minimization in FPGAs through edge alignment and glitch filtering." IEEE TVLSI no (2008): [3] Dinh, Q. et al. "A routing approach to reduce glitches in low power FPGAs." IEEE TCAD no 29.2 (2010): [4] [5] Chiasson, C. and Betz, V. "COFFE: Fully-Automated Transistor Sizing for FPGAs," IEEE FPT

37 Backup Slides 37

38 Previous Approaches Pipelining ` X 1 X 2 Y D Q OUT t g t g Synthesis techniques to heavily pipeline [3] Effective in removing glitches blocked from propagating to interconnect Potentially not suitable/applicable to all designs 38

39 Previous Approaches Synchronous Glitch Filtering ` D Q D D Q Y Q OUT Ф CLK t g t g Ф s Ф CLK Add additional FF or latch to sample intermediate points in datapath using a clock with different phase ф s [4] Does not affect behaviour of original design Has limited scope to filter glitches, may not be applicable to many parts of complex design Supplying ф s may be costly/not feasible 39

40 Routing Delay Characteristics Stratix-III routing delay characteristics extracted from a benchmark Shows routing state & temperature dependent delay highly unpredictable 40

41 Some Implementation Details CS Delay Cell Conv. Delay Cell V DD V DD M 4 M 5 M PB M 4 M 8 IN M 3 OUT IN M 3 M 7 OUT M 2 M 2 M 6 A M 1 M 6 M NB M 1 M 5 A Two possible delay cell implementations considered in this paper Current Starved (CS) potentially has lower area overhead, at a cost of increased PVT sensitivity Conventional less sensitive to PVT, greater area 41

42 Additional Constraint n x d X g x t X p n z g z n y d y g y t y t Obtaining GP v (t) is time consuming Would like to minimize number of times we compute these Ideally compute only once! Glitch profile function of relative arrival times of signals at inputs to combinational nodes 42

43 Additional Constraint n x d X g x t X d X d Y p n z g z n y d y g y t y t What happens if d X d Y? Relative arrival time at Z = (d x + t x ) (d y + t y ) = (t x t y ) (d x d y ) (t x t y ) GP Z (t): function of relative arrival times at z 43

44 Additional Constraint n x d X g x t X d X d Y p n z g z n y d y g y t y t What happens if d X d Y? Relative arrival time at Z = (d x + t x ) (d y + t y ) = (t x t y ) (d x d y ) (t x t y ) GP Z (t): function of relative arrival times at z Setting d X d Y makes GP z (t) stale, need to recompute! 44

45 Our Approach Only compute GP v (t) once If relative arrival times at v are altered, GP v (t) discarded From optimizer s point of view, v s power cannot be reduced Ensure relative arrival times of consequential nodes is preserved Nodes whose power we want to reduce! Nodes whose worst case glitch power is deemed to be insignificant are free to have input relative arrival times altered 45

46 Optimization Problem (revisited) p n x g x t p n z g z n y g y p t t Objective: Minimize P total subject to timing constraints and soft arrival time equalization constraints 46

Towards PVT-Tolerant Glitch-Free Operation in FPGAs

Towards PVT-Tolerant Glitch-Free Operation in FPGAs Towards PVT-Tolerant Glitch-Free Operation in FPGAs Safeen Huda and Jason Anderson Dept. of Electrical and Computer Engineering, University of Toronto Toronto, ON, Canada Email: {safeen,janders}@ece.toronto.edu

More information

Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques

Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques Safeen Huda and Jason Anderson International Symposium on Physical Design Santa Rosa, CA, April 6, 2016 1 Motivation FPGA power increasingly

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

Review and Analysis of Glitch Reduction for Low Power VLSI Circuits

Review and Analysis of Glitch Reduction for Low Power VLSI Circuits Review and Analysis of Glitch Reduction for Low Power VLSI Circuits Somashekhar Malipatil 1 1 Assistant Professor Department of Electronics & Communication Engineering Nalla Malla Reddy Engineering College,

More information

EECS 427 Lecture 22: Low and Multiple-Vdd Design

EECS 427 Lecture 22: Low and Multiple-Vdd Design EECS 427 Lecture 22: Low and Multiple-Vdd Design Reading: 11.7.1 EECS 427 W07 Lecture 22 1 Last Time Low power ALUs Glitch power Clock gating Bus recoding The low power design space Dynamic vs static EECS

More information

COFFE: Fully-Automated Transistor Sizing for FPGAs

COFFE: Fully-Automated Transistor Sizing for FPGAs COFFE: Fully-Automated Transistor Sizing for FPGAs Charles Chiasson and Vaughn Betz Department of Electrical and Computer Engineering University of Toronto, Toronto, ON, Canada {charlesc,vaughn}@eecg.utoronto.ca

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

SHOULD FPGAS ABANDON THE PASS-GATE? Charles Chiasson and Vaughn Betz

SHOULD FPGAS ABANDON THE PASS-GATE? Charles Chiasson and Vaughn Betz SHOULD FPGAS ABANDON THE PASS-GATE? Charles Chiasson and Vaughn Betz Department of Electrical and Computer Engineering University of Toronto, Toronto, ON, Canada {charlesc,vaughn}@eecg.utoronto.ca ABSTRACT

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Andrew Clinton, Matt Liberty, Ian Kuon

Andrew Clinton, Matt Liberty, Ian Kuon Andrew Clinton, Matt Liberty, Ian Kuon FPGA Routing (Interconnect) FPGA routing consists of a network of wires and programmable switches Wire is modeled with a reduced RC network Drivers are modeled as

More information

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers Muhammad Nummer and Manoj Sachdev University of Waterloo, Ontario, Canada mnummer@vlsi.uwaterloo.ca, msachdev@ece.uwaterloo.ca

More information

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available Timing Analysis Lecture 9 ECE 156A-B 1 General Timing analysis can be done right after synthesis But it can only be accurately done when layout is available Timing analysis at an early stage is not accurate

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

Improved DFT for Testing Power Switches

Improved DFT for Testing Power Switches Improved DFT for Testing Power Switches Saqib Khursheed, Sheng Yang, Bashir M. Al-Hashimi, Xiaoyu Huang School of Electronics and Computer Science University of Southampton, UK. Email: {ssk, sy8r, bmah,

More information

Managing Metastability with the Quartus II Software

Managing Metastability with the Quartus II Software Managing Metastability with the Quartus II Software 13 QII51018 Subscribe You can use the Quartus II software to analyze the average mean time between failures (MTBF) due to metastability caused by synchronization

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

A Case Study of Nanoscale FPGA Programmable Switches with Low Power A Case Study of Nanoscale FPGA Programmable Switches with Low Power V.Elamaran 1, Har Narayan Upadhyay 2 1 Assistant Professor, Department of ECE, School of EEE SASTRA University, Tamilnadu - 613401, India

More information

TRENDS in technology scaling make leakage power an

TRENDS in technology scaling make leakage power an IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 3, MARCH 2006 423 Active Leakage Power Optimization for FPGAs Jason H. Anderson, Student Member, IEEE, and Farid

More information

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL 1 PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL Pradeep Patel Instrumentation and Control Department Prof. Deepali Shah Instrumentation and Control Department L. D. College

More information

Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions

Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions L. Sterpone Dipartimento di Automatica e Informatica Politecnico di Torino, Torino, ITALY 1 Motivations

More information

Lecture 9: Clocking for High Performance Processors

Lecture 9: Clocking for High Performance Processors Lecture 9: Clocking for High Performance Processors Computer Systems Lab Stanford University horowitz@stanford.edu Copyright 2001 Mark Horowitz EE371 Lecture 9-1 Horowitz Overview Reading Bailey Stojanovic

More information

A Dual-V DD Low Power FPGA Architecture

A Dual-V DD Low Power FPGA Architecture A Dual-V DD Low Power FPGA Architecture A. Gayasen 1, K. Lee 1, N. Vijaykrishnan 1, M. Kandemir 1, M.J. Irwin 1, and T. Tuan 2 1 Dept. of Computer Science and Engineering Pennsylvania State University

More information

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3 [Partly adapted from Irwin and Narayanan, and Nikolic] 1 Reminders CAD assignments Please submit CAD5 by tomorrow noon CAD6 is due

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design Cao Cao and Bengt Oelmann Department of Information Technology and Media, Mid-Sweden University S-851 70 Sundsvall, Sweden {cao.cao@mh.se}

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

An Interconnect-Centric Approach to Cyclic Shifter Design

An Interconnect-Centric Approach to Cyclic Shifter Design An Interconnect-Centric Approach to Cyclic Shifter Design Haikun Zhu, Yi Zhu C.-K. Cheng Harvey Mudd College. David M. Harris Harvey Mudd College. 1 Outline Motivation Previous Work Approaches Fanout-Splitting

More information

ELEC Digital Logic Circuits Fall 2015 Delay and Power

ELEC Digital Logic Circuits Fall 2015 Delay and Power ELEC - Digital Logic Circuits Fall 5 Delay and Power Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering Auburn University, Auburn, AL 36849 http://www.eng.auburn.edu/~vagrawal

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks Logic Restructuring Revisited Low Power VLSI System Design Lectures 4 & 5: Logic-Level Power Optimization Prof. R. Iris ahar September 8 &, 7 Logic restructuring: hanging the topology of a logic network

More information

Optimization and Modeling of FPGA Circuitry in Advanced Process Technology. Charles Chiasson

Optimization and Modeling of FPGA Circuitry in Advanced Process Technology. Charles Chiasson Optimization and Modeling of FPGA Circuitry in Advanced Process Technology by Charles Chiasson A thesis submitted in conformity with the requirements for the degree of Master of Applied Science Graduate

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

Low Power Design in VLSI

Low Power Design in VLSI Low Power Design in VLSI Evolution in Power Dissipation: Why worry about power? Heat Dissipation source : arpa-esto microprocessor power dissipation DEC 21164 Computers Defined by Watts not MIPS: µwatt

More information

CS250 VLSI Systems Design. Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing

CS250 VLSI Systems Design. Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing CS250 VLSI Systems Design Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing Fall 2010 Krste Asanovic, John Wawrzynek with John Lazzaro and Yunsup Lee (TA) What do Computer

More information

On-silicon Instrumentation

On-silicon Instrumentation On-silicon Instrumentation An approach to alleviate the variability problem Peter Y. K. Cheung Department of Electrical and Electronic Engineering 18 th March 2014 U. of York How we started (in 2006)!

More information

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers Accurate Timing and Power Characterization of Static Single-Track Full-Buffers By Rahul Rithe Department of Electronics & Electrical Communication Engineering Indian Institute of Technology Kharagpur,

More information

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor Disseny físic Disseny en Standard Cells Enric Pastor Rosa M. Badia Ramon Canal DM Tardor 2005 DM, Tardor 2005 1 Design domains (Gajski) Structural Processor, memory ALU, registers Cell Device, gate Transistor

More information

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

More information

PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO

PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO Paras Gupta 1, Pranjal Ahluwalia 2, Kanishk Sanwal 3, Peyush Pande 4 1,2,3,4 Department of Electronics

More information

Timing Issues in FPGA Synchronous Circuit Design

Timing Issues in FPGA Synchronous Circuit Design ECE 428 Programmable ASIC Design Timing Issues in FPGA Synchronous Circuit Design Haibo Wang ECE Department Southern Illinois University Carbondale, IL 62901 1-1 FPGA Design Flow Schematic capture HDL

More information

DESIGNING powerful and versatile computing systems is

DESIGNING powerful and versatile computing systems is 560 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 15, NO. 5, MAY 2007 Variation-Aware Adaptive Voltage Scaling System Mohamed Elgebaly, Member, IEEE, and Manoj Sachdev, Senior

More information

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS Charlie Jenkins, (Altera Corporation San Jose, California, USA; chjenkin@altera.com) Paul Ekas, (Altera Corporation San Jose, California, USA; pekas@altera.com)

More information

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Abstract: Double-edged pulse width modulation (DPWM) is less sensitive to frequency-dependent losses in electrical

More information

POWER GATING. Power-gating parameters

POWER GATING. Power-gating parameters POWER GATING Power Gating is effective for reducing leakage power [3]. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage

More information

ISSN:

ISSN: 1061 Area Leakage Power and delay Optimization BY Switched High V TH Logic UDAY PANWAR 1, KAVITA KHARE 2 12 Department of Electronics and Communication Engineering, MANIT, Bhopal 1 panwaruday1@gmail.com,

More information

Lecture 10. Circuit Pitfalls

Lecture 10. Circuit Pitfalls Lecture 10 Circuit Pitfalls Intel Corporation jstinson@stanford.edu 1 Overview Reading Lev Signal and Power Network Integrity Chandrakasen Chapter 7 (Logic Families) and Chapter 8 (Dynamic logic) Gronowski

More information

Period and Glitch Reduction Via Clock Skew Scheduling, Delay Padding and GlitchLess

Period and Glitch Reduction Via Clock Skew Scheduling, Delay Padding and GlitchLess Period and Glitch Reduction Via Clock Skew Scheduling, Delay Padding and GlitchLess by Xiao Dong B.A.Sc., The University of British Columbia, 2007 A THESIS SUBMITTED IN PARTIAL FULFILLMENT OF THE REQUIREMENTS

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

Glitch Power Reduction for Low Power IC Design

Glitch Power Reduction for Low Power IC Design This document is an author-formatted work. The definitive version for citation appears as: N. Weng, J. S. Yuan, R. F. DeMara, D. Ferguson, and M. Hagedorn, Glitch Power Reduction for Low Power IC Design,

More information

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 138 CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 6.1 INTRODUCTION The Clock generator is a circuit that produces the timing or the clock signal for the operation in sequential circuits. The circuit

More information

Latch-Based Performance Optimization for Field-Programmable Gate Arrays

Latch-Based Performance Optimization for Field-Programmable Gate Arrays IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 32, NO. 5, MAY 2013 667 Latch-Based Performance Optimization for Field-Programmable Gate Arrays Bill Teng and Jason H.

More information

Dynamic Logic. Domino logic P-E logic NORA logic 2-phase logic Multiple O/P domino logic Cascode logic 11/28/2012 1

Dynamic Logic. Domino logic P-E logic NORA logic 2-phase logic Multiple O/P domino logic Cascode logic 11/28/2012 1 Dynamic Logic Dynamic Circuits will be introduced and their performance in terms of power, area, delay, energy and AT 2 will be reviewed. We will review the following logic families: Domino logic P-E logic

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

Lecture 9: Cell Design Issues

Lecture 9: Cell Design Issues Lecture 9: Cell Design Issues MAH, AEN EE271 Lecture 9 1 Overview Reading W&E 6.3 to 6.3.6 - FPGA, Gate Array, and Std Cell design W&E 5.3 - Cell design Introduction This lecture will look at some of the

More information

Nanowire-Based Programmable Architectures

Nanowire-Based Programmable Architectures Nanowire-Based Programmable Architectures ANDR E E DEHON ACM Journal on Emerging Technologies in Computing Systems, Vol. 1, No. 2, July 2005, Pages 109 162 162 INTRODUCTION Goal : to develop nanowire-based

More information

Ramon Canal NCD Master MIRI. NCD Master MIRI 1

Ramon Canal NCD Master MIRI. NCD Master MIRI 1 Wattch, Hotspot, Hotleakage, McPAT http://www.eecs.harvard.edu/~dbrooks/wattch-form.html http://lava.cs.virginia.edu/hotspot http://lava.cs.virginia.edu/hotleakage http://www.hpl.hp.com/research/mcpat/

More information

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS Low Power Design Part I Introduction and VHDL design Ricardo Santos ricardo@facom.ufms.br LSCAD/FACOM/UFMS Motivation for Low Power Design Low power design is important from three different reasons Device

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

A Multiplexer-Based Digital Passive Linear Counter (PLINCO)

A Multiplexer-Based Digital Passive Linear Counter (PLINCO) A Multiplexer-Based Digital Passive Linear Counter (PLINCO) Skyler Weaver, Benjamin Hershberg, Pavan Kumar Hanumolu, and Un-Ku Moon School of EECS, Oregon State University, 48 Kelley Engineering Center,

More information

A Low-Power SRAM Design Using Quiet-Bitline Architecture

A Low-Power SRAM Design Using Quiet-Bitline Architecture A Low-Power SRAM Design Using uiet-bitline Architecture Shin-Pao Cheng Shi-Yu Huang Electrical Engineering Department National Tsing-Hua University, Taiwan Abstract This paper presents a low-power SRAM

More information

An Analysis for Power Minimization at Different Level of Abstraction to Optimize Digital Circuit

An Analysis for Power Minimization at Different Level of Abstraction to Optimize Digital Circuit An Analysis for Power Minimization at Different Level of Abstraction to Optimize Digital Circuit Vivechana Dubey, Ravimohan Sairam ABSTRACT This paper aims at presenting an innovative conceptual framework

More information

NEW PCM BASED FPGA ARCHITECTURE AND GRAPHENE MEMORY CELL DESIGN CHUNAN WEI THESIS

NEW PCM BASED FPGA ARCHITECTURE AND GRAPHENE MEMORY CELL DESIGN CHUNAN WEI THESIS NEW PCM BASED FPGA ARCHITECTURE AND GRAPHENE MEMORY CELL DESIGN BY CHUNAN WEI THESIS Submitted in partial fulfillment of the requirements for the degree of Master of Science in Electrical and Computer

More information

Power Modeling and Characteristics of Field Programmable Gate Arrays

Power Modeling and Characteristics of Field Programmable Gate Arrays IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS, VOL. XX, NO. YY, MONTH 2005 1 Power Modeling and Characteristics of Field Programmable Gate Arrays Fei Li and Lei He Member, IEEE Abstract

More information

IJMIE Volume 2, Issue 3 ISSN:

IJMIE Volume 2, Issue 3 ISSN: IJMIE Volume 2, Issue 3 ISSN: 2249-0558 VLSI DESIGN OF LOW POWER HIGH SPEED DOMINO LOGIC Ms. Rakhi R. Agrawal* Dr. S. A. Ladhake** Abstract: Simple to implement, low cost designs in CMOS Domino logic are

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

Active Decap Design Considerations for Optimal Supply Noise Reduction

Active Decap Design Considerations for Optimal Supply Noise Reduction Active Decap Design Considerations for Optimal Supply Noise Reduction Xiongfei Meng and Resve Saleh Dept. of ECE, University of British Columbia, 356 Main Mall, Vancouver, BC, V6T Z4, Canada E-mail: {xmeng,

More information

Yet, many signal processing systems require both digital and analog circuits. To enable

Yet, many signal processing systems require both digital and analog circuits. To enable Introduction Field-Programmable Gate Arrays (FPGAs) have been a superb solution for rapid and reliable prototyping of digital logic systems at low cost for more than twenty years. Yet, many signal processing

More information

AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER

AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER 1 CH.JAYA PRAKASH, 2 P.HAREESH, 3 SK. FARISHMA 1&2 Assistant Professor, Dept. of ECE, 3 M.Tech-Student, Sir CR Reddy College

More information

ISSN:

ISSN: 343 Comparison of different design techniques of XOR & AND gate using EDA simulation tool RAZIA SULTANA 1, * JAGANNATH SAMANTA 1 M.TECH-STUDENT, ECE, Haldia Institute of Technology, Haldia, INDIA ECE,

More information

POWER CONSUMPTION IN DIGITAL CIRCUITS

POWER CONSUMPTION IN DIGITAL CIRCUITS POWER CONSUMPTION IN DIGITAL CIRCUITS Alain Guyot and Sélim Abou-Samra TIMA Laboratory, 46 Avenue Félix Viallet, F383 Grenoble France Abstract: This paper will first address the issues: why a voltage transition

More information

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A new 6-T multiplexer based full-adder for low power and leakage current optimization A new 6-T multiplexer based full-adder for low power and leakage current optimization G. Ramana Murthy a), C. Senthilpari, P. Velrajkumar, and T. S. Lim Faculty of Engineering and Technology, Multimedia

More information

QUATERNARY LOGIC LOOK UP TABLE FOR CMOS CIRCUITS

QUATERNARY LOGIC LOOK UP TABLE FOR CMOS CIRCUITS QUATERNARY LOGIC LOOK UP TABLE FOR CMOS CIRCUITS Anu Varghese 1,Binu K Mathew 2 1 Department of Electronics and Communication Engineering, Saintgits College Of Engineering, Kottayam 2 Department of Electronics

More information

A Novel Latch design for Low Power Applications

A Novel Latch design for Low Power Applications A Novel Latch design for Low Power Applications Abhilasha Deptt. of Electronics and Communication Engg., FET-MITS Lakshmangarh, Rajasthan (India) K. G. Sharma Suresh Gyan Vihar University, Jagatpura, Jaipur,

More information

NanoFabrics: : Spatial Computing Using Molecular Electronics

NanoFabrics: : Spatial Computing Using Molecular Electronics NanoFabrics: : Spatial Computing Using Molecular Electronics Seth Copen Goldstein and Mihai Budiu Computer Architecture, 2001. Proceedings. 28th Annual International Symposium on 30 June-4 4 July 2001

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

ECE 551: Digital System Design & Synthesis

ECE 551: Digital System Design & Synthesis ECE 551: Digital System Design & Synthesis Lecture Set 9 9.1: Constraints and Timing 9.2: Optimization (In separate file) 03/30/03 1 ECE 551 - Digital System Design & Synthesis Lecture 9.1 - Constraints

More information

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Low Power System-On-Chip-Design Chapter 12: Physical Libraries 1 Low Power System-On-Chip-Design Chapter 12: Physical Libraries Friedemann Wesner 2 Outline Standard Cell Libraries Modeling of Standard Cell Libraries Isolation Cells Level Shifters Memories Power Gating

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor(SJIF): 3.134 e-issn(o): 2348-4470 p-issn(p): 2348-6406 International Journal of Advance Engineering and Research Development Volume 1,Issue 12, December -2014 Design

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

Chapter 4. Problems. 1 Chapter 4 Problem Set

Chapter 4. Problems. 1 Chapter 4 Problem Set 1 Chapter 4 Problem Set Chapter 4 Problems 1. [M, None, 4.x] Figure 0.1 shows a clock-distribution network. Each segment of the clock network (between the nodes) is 5 mm long, 3 µm wide, and is implemented

More information

Domino Static Gates Final Design Report

Domino Static Gates Final Design Report Domino Static Gates Final Design Report Krishna Santhanam bstract Static circuit gates are the standard circuit devices used to build the major parts of digital circuits. Dynamic gates, such as domino

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

Minimization Of Power Dissipation In Digital Circuits Using Pipelining And A Study Of Clock Gating Technique

Minimization Of Power Dissipation In Digital Circuits Using Pipelining And A Study Of Clock Gating Technique University of Central Florida Electronic Theses and Dissertations Masters Thesis (Open Access) Minimization Of Power Dissipation In Digital Circuits Using Pipelining And A Study Of Clock Gating Technique

More information

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Amber Path FX is a trusted analysis solution for designers trying to close on power, performance, yield and area in 40 nanometer processes

More information

Abstract. 1 Introduction

Abstract. 1 Introduction Variable Input Delay CMOS Logic for Low Power Design Tezaswi Raja Vishwani D. Agrawal Michael L. Bushnell Transmeta Corp. Auburn University, Dept. of ECE Rutgers University, Dept. of ECE Santa Clara, CA

More information

Statistical Timing Analysis of Asynchronous Circuits Using Logic Simulator

Statistical Timing Analysis of Asynchronous Circuits Using Logic Simulator ELECTRONICS, VOL. 13, NO. 1, JUNE 2009 37 Statistical Timing Analysis of Asynchronous Circuits Using Logic Simulator Miljana Lj. Sokolović and Vančo B. Litovski Abstract The lack of methods and tools for

More information

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm EE241 - Spring 2011 dvanced Digital Integrated Circuits Lecture 20: High-Performance Logic Styles nnouncements Quiz #3 today Homework #4 posted This lecture until 4pm Reading: Chapter 8 in the owhill text

More information

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER Ashwini Khadke 1, Paurnima Chaudhari 2, Mayur More 3, Prof. D.S. Patil 4 1Pursuing M.Tech, Dept. of Electronics and Engineering, NMU, Maharashtra,

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology. " Gate choice, logical optimization. " Fanin, fanout, Serial vs.

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology.  Gate choice, logical optimization.  Fanin, fanout, Serial vs. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Design Space Exploration Lec 18: March 28, 2017 Design Space Exploration, Synchronous MOS Logic, Timing Hazards 3 Design Problem Problem Solvable!

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

EE141-Spring 2007 Digital Integrated Circuits

EE141-Spring 2007 Digital Integrated Circuits EE141-Spring 2007 Digital Integrated Circuits Lecture 22 I/O, Power Distribution dders 1 nnouncements Homework 9 has been posted Due Tu. pr. 24, 5pm Project Phase 4 (Final) Report due Mo. pr. 30, noon

More information

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication Peggy B. McGee, Melinda Y. Agyekum, Moustafa M. Mohamed and Steven M. Nowick {pmcgee, melinda, mmohamed,

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information