ISSN:

Size: px
Start display at page:

Download "ISSN:"

Transcription

1 343 Comparison of different design techniques of XOR & AND gate using EDA simulation tool RAZIA SULTANA 1, * JAGANNATH SAMANTA 1 M.TECH-STUDENT, ECE, Haldia Institute of Technology, Haldia, INDIA ECE, Haldia Institute of Technology, Haldia, INDIA 1 razia4@gmail.com, jagannath196@gmail.com ABSTRACT XOR & AND gates are most important basic building blocks of any VLSI applications. These gates can be implemented in different architectures by using different circuit designs techniques. This paper evaluates and compares the performance of various design techniques of XOR-AND gates. The performances of these techniques have been evaluated by Tanner Tools V13 using the 9nm CMOS technology. In this work, XOR & AND gates can be implemented using seven different logic design techniques i.e. Standard CMOS logic, logic, logic, logic, DVL logic, GDI logic and Domino logic. The XOR gates with design are suitable for arithmetic gates and other VLSI applications with very low power consumption and a very high speed performance and the AND gate GDI design has better performance than other design techniques. Keywords: Exclusive-OR, AND gate, High speed, Low power, Pass-Transistor Logic, Delay, PDP 1. INTRODUCTION The demand for low power VLSI is increasing day by day at different levels such as process technology level, architectural level, circuit and layout level. At the circuit design level, considerable potential for power savings exists by means of proper choice of a logic style for implementing combinational gates. This is because all the important parameters like power dissipation, area, delay are strongly influenced by the chosen logic style. Moreover, the explosive growth driving the designers to strive for smaller silicon area, higher speeds, longer battery life, and more reliability. Power is one of the premium resources a designer tries to save when designing a system. The XOR-AND gates are basic building blocks in various circuit especially-arithmetic gates (full adder, and multipliers), Compressors, Comparators, Parity Checkers, Code converters, Error-detecting or Errorcorrecting codes, and Phase detector. The performance of the complex logic gates is affected by the individual performance of the XOR-AND gates that are included in them [1-3]. Therefore, careful design and analysis is required for XOR-AND gates to obtained full output voltage swing, lesser power consumption and delay in the critical path. Additionally, the design should have a lesser number of transistors to implement XOR- ANDgates. In this work, XOR & AND gates can be implemented using seven different logic design techniques i.e. Standard CMOS logic, logic, logic, logic, DVL logic, GDI logic and Domino logic. The performances of these techniques have been evaluated by Tanner Tools V13 using the 9nm CMOS technology. The rest of the paper is organized as follows. Section II gives the idea on previous work done on XOR and AND gates. Section III gives a short introduction to the various XOR and AND gates design and compares them qualitatively. Results of quantitative comparisons based on simulations of different design techniques are shown in Section IV. In section V, the results are discussed and the paper is concluded in Section VI.. PREVIOUS WORK In the past two decades, a number of circuit techniques have been reported with a view to improve the circuit performance of XOR-AND gates [1-9]. In this section we have presented an overview of some significant techniques. A wide variety of XOR-AND implementations are available to serve different speed and density requirements. Instead of cascading two -input XOR gates, a new design for 3-input XOR circuit is given in [5]. The reported circuit has the least number of transistors and no complementary input signals are needed. Especially, the power-delay product is also minimized. A based 4-transitors XOR gates presented in [5].The Pass-Transistor Logic () is a better way to implement gates designed for low power applications. The advantage of is that only one network (either NMOS or PMOS) is sufficient to perform the logic operation, which results in smaller number of transistors and smaller input loads, especially when NMOS network is used. Moreover, VDD-to- GND paths, which may lead to short-circuit energy dissipation, are eliminated. These gates have a non-full voltage swing at the output node and are characterized by its low power consumption. And it has better power-delay product than other techniques. Many circuit implementations have been proposed in the literature.some of the main advantages of over standard CMOS design are 1) high speed, due to the small node capacitances; ) low power dissipation, as a result of the reduced number of transistors; and 3) lower interconnection effects due to a small area. However, most of the implementations have two basic problems. First, the threshold drop across the single-channel pass transistors results in the

2 344 reduced current drive and hence slower operation at reduced supply voltages. There are many sorts of techniques such as Transmission gate CMOS (TG), Complementary pass-transistor logic () and Double pass-transistor logic () that intend to solve the problems. Another low-power design technique is the gate diffusion input (GDI) technique. The GDI based - transistor AND circuit presented in [6]. This method is suitable for design of fast, low-power gates, using a reduced number of transistors, while improving logic level swing and static power characteristics. Different types of design techniques to implement the XOR and AND gates are discussed in the next section. 3. VARIOUS DESIGN TECHNIQUES FOR XOR & AND GATES 3.1. Static CMOS XOR and AND gates Static CMOS uses dual networks to implement a given function [1-3]. A first part consists solely of complementary pull-up PMOS network while a second part consists of pull-down NMOS networks. The advantage of this technique is that it gives full output swing i.e.; no threshold loss but on the other side it has many shortcomings such as more area because of more number of CMOS transistors required to design a gate, large power consumption and less speed. Static CMOS XOR and the AND gate is shown in Fig.1(a) and Fig.1(b). Fig.1: (a) Static CMOS XOR (b) Static CMOS AND gates 3.. based XOR and ANDgates differs from static CMOS is that the source side of the MOS transistor is connected to an input line instead of being connected to power lines. Another important difference is that only one network (either NMOS or PMOS) is sufficient to perform the logic operation. XOR-AND gates of the pass transistor logic style are shown in Fig(a, b, c,d).the advantage of is that only one network (either NMOS or PMOS) is sufficient to perform the logic operation, which results in smaller number of transistors and smaller input loads, especially when NMOS network is used. Fig: (a, b, c) XOR gates (d) AND gates For XOR circuit in Fig. (a), when the input B is at logic 1, the inverter circuit functions like a normal CMOS inverter. Therefore the output is the complement of input A. When the input B is at logic, the CMOS inverter output is at high impedance. However, the PMOS pass transistor is ON and the output gets the same logic value as input A. The operation of the whole circuit is thus like a -input XOR circuit. However, it performs non fullswing operations for some input patterns causing their corresponding outputs to be degraded by Vth. For A = 1 and B =, voltage degradation due to threshold drop occurs across transistor and consequently the output is degraded with respect to the input. The XOR gate respectively in Fig. (b) and has degraded output voltage swing, limited driving capability and is characterized by low power consumption. The circuits in Fig. (c) has provides good output levels and the driving capability of the circuits is also improved as it uses static CMOS inverter. The main limitation of the circuits is extra power consumption due to the presence of the static CMOS inverter. -transistors AND circuit using logic is shown in Fig. (b). When A is at logic, B is at logic and A is, B is 1 respectively, PMOS transistor is ON and NMOS is OFF and as PMOS is weak device, it will pass incomplete logic low signal to the output. With both the inputs stated above A is constantly zero but B is changing its state from to 1.When A is logic 1 and is B logic, PMOS transistor is OFF and NMOS is ON, and as NMOS is strong device, it will pass complete logic low signal to the output and when A is logic 1 and B is logic 1, PMOS transistor is OFF and NMOS is ON, and due to NMOS is weak 1 device,

3 345 output will be charged to incomplete logic high. This gate has better performance in the terms of power consumption with increasing input voltage based XOR and AND gate The was significant in the fact that it was based on the use of the pass transistor networks. benefits from the small input capacitances (NMOS network only), the fast differential stage, and the good output driving capability (output inverter), making the implementation of complex gates very efficient. On the other hand, the large number of nodes and transistors and the two inversion levels result in relatively inefficient implementations of simple gates. Usually, pull-up PMOS transistors are necessary for swing restoration. Larger short-circuit currents and higher wiring overhead (dual-rail signals) compared to CMOS also increase power consumption. XOR-ANDgates using Complementary pass transistor logic style are shown in Fig.3. Fig3(a): XOR (b) AND gates 3.4. XOR and AND gates: A pass transistor logic attempts to solve the problem of the pass transistor threshold voltage drop exhibited in. therefore represents a pass transistor logic family alternative to. Double pass-transistor logic () uses complementary transistors to keep full swing operation and reduce the dc power consumption. This eliminates the problem of the threshold drop and the use of inverters after each logic blocks. One limitation of is the large area used due to the presence of PMOS transistors. 4-transistors (Double pass-transistor logic) XOR and AND gates are shown in Fig.4 have been design to improve circuit performance at low supply voltages [7]. Fig.4(a): XOR (b) AND gates 3.5. DVL AND Gate A step further in development of is taken in a logic family termed DVL (Dual value logic). The main drawback of is its redundancy, i.e. it requires more transistors than actually needed for the realization of a function. To overcome the problem of redundancy, a new logic family, DVL is derived from. It preserves the full swing operation of with reduced transistor count. As introduced in [8], DVL circuit can be derived from gates in three steps, consisting of: (a) elimination of redundant branches in (b) elimination of branches via signal rearrangement (c) Selection of the faster halves. 3-transistors AND circuit using DVL is shown in Fig.5. Fig5: DVL AND gate

4 GDI based XOR-AND gate GDI (Gate diffusion input) is a low-power digital combinational circuit design technique is based on the use of a simple GDI cell as shown in Fig6.The basic difference between GDI cell and standard CMOS inverter is as follow: Fig6: (n + ) inputs GDI cell. The GDI cell [9] contains three inputs G (common gate input of NMOS and PMOS transistor), P (input to the source/drain of PMOS), and N (input to the source/drain of NMOS).Bulks of both NMOS and PMOS are connected to N or P (respectively), so it can be arbitrarily biased at contrast with a CMOS inverter. This technique allows reducing power consumption, propagation delay, and area of digital gates while maintaining low complexity of logic design. XOR and AND gate using GDI logic is shown in Fig.7 and the output waveforms are shown in Fig.7(a) and Fig.7(b). Fig.7: (a) GDI XOR (b) GDI AND gates 3.7. Domino Logic based XOR-AND gate In this type dynamic CMOS logic, the output is connected with a static CMOS inverter. The addition of this inverter make this dynamic CMOS logic to be cascaded. Here, during pre-charge phase the output of the dynamic CMOS stage is pre-charged to a high logic level and the output of the inverter to a low logic level. According to external inputs, during evaluation, the output of the inverter is restricted to only the transition form to 1, but from logic 1 to is never possible. So, when these logic blocks are cascaded, all input transistor in subsequent logic blocks will be turned off during the pre-charged phase, since all buffer outputs are equal to. During the evaluation phase, each buffer output can make at most one transistor ( to 1) and thus each input of all subsequent logic stages can also make at most one transition. In a cascade structure consisting of several stages, the evaluation of each stages ripples the next stage evaluation, similar to a domino falling one after the other. XOR-AND gates using Domino logic style are shown in Fig.8. Fig.8 (a): Domino logic XOR gate (b) Domino logic AND gate T XOR The early designs of XOR gates were based on either eight transistors or six transistors that are conventionally used in most designs. Over the last decade, considerable emphasis has been laid on the design of four-transistor XOR gate. Fig9(a,b) could operate without requiring complementary inputs which is a severe drawback of CMOS transmission gate logic based XOR gates. Bui, Wang and Jiang further improved the XOR gate

5 347 designed a XOR gate without a VDD shown in Fig.9(c). However, this XOR gates consumed considerable silicon area for their optimum performance and the power delay product is also large. Fig.9 (a, b, c): 4 Transistor XOR gate 3.9. Inverter based XOR Gate Inverter based XOR gate is design by cascading three inverters as shown in Fig.1. The serious limitation of these circuits is non full voltage swing at the internal nodes of the circuit. However, they operate reliably at high supply voltage. Fig.1. Inverter based XOR gate 4. SIMULATION RESULTS AND COMPARISON Various design techniques for XOR and AND gate are compared based on the performance parameters like propagation delay, power dissipation, power delay product (PDP). The channel width of the transistors is.45μm for the NMOS and.9μm for the PMOS in all cases. All the simulations works have been done by Tanner EDA simulation tool for supply voltage 1v using the 9nm CMOS technology. Fig:11(a & b) showing the output waveform of based and Fig.1(a & b) showing the output waveform of GDI based XOR & AND gate from simulation results. Fig11: (a) XOR output waveform for Fig. (a). Fig11: (b) AND output waveform for Fig. (d). Fig1: (a) XOR output waveform for Fig. 8 (a). Fig1 (b): AND output waveform for Fig. 8 (b). Table 1: Comparative performance of various type of XOR gate at 9nm CMOS technology. Sl. No. Logic Styles Complexity Delay (ns) Avg. power PDP(fJ) Consumed (nw) (Fig.a) (Fig.b) (Fig.c) (Fig.3a) (Fig.3b) (Fig.4a) GDI (Fig.7a)

6 348 5 Domino (Fig.8a) T XOR (Fig.9a) T XOR (Fig.9b) T XOR (Fig.9c) Inverter based (Fig.1) Table : Comparative performance of various type of AND gate at 9nm CMOS technology Sl. No. Logic Styles Complexity Delay Avg. power (ns) Consumed (nw) PDP(fJ) 1 (Fig.d) (Fig.3c) (Fig.4b) DVL (Fig.5a) GDI (Fig.7b) Domino (Fig.8b) Complexity of XOR gate Complexity of AND gate No. of trasistors GDI Domino 4-T XOR 4-T XOR 4-T XOR INV No. of Transistors (Fig.d) (Fig.3c) (Fig.4b) DVL (Fig.5a) GDI Domino (Fig.7b) (Fig.8b) (Fig.a) (Fig.b) (Fig.c) (Fig.3a) (Fig.3b) (Fig.4a) (Fig.7a) (Fig.8a) (Fig.9a) (Fig.9b) (Fig.9c) (Fig.1) Fig. 13: Complexity of different design styles of XOR & AND gate Delay analysis of different XOR gates Delay (ns) GDI Domino 4-T 4-T 4-T INV (Fig.a) (Fig.b) (Fig.c) (Fig.3a) (Fig.3b) (Fig.4a) (Fig.7a) (Fig.8a) XOR XOR XOR (Fig.1) (Fig.9a) (Fig.9b) (Fig.9c) Consumed power (nw) (Fig.a) Fig.14: Delay analysis of various types of XOR gate Avg. Power consumed for diffrent XOR gates GDI Domino 4-T 4-T 4-T INV (Fig.b) (Fig.c) (Fig.3a) (Fig.3b) (Fig.4a) (Fig.7a) (Fig.8a) XOR XOR XOR (Fig.1) (Fig.9a) (Fig.9b) (Fig.9c) Fig.15: Avg. power consumption for various type of XOR gate 5 Power Delay Product of various XOR gates PDP (fj) (Fig.a) GDI Domino 4-T 4-T 4-T INV (Fig.b) (Fig.c) (Fig.3a) (Fig.3b) (Fig.4a) (Fig.7a) (Fig.8a) XOR XOR XOR (Fig.1) (Fig.9a) (Fig.9b) (Fig.9c) Fig.16: PDP of various type of XOR gate

7 349 Avg. power consumed of various AND gates PDP of various AND gates Power consumed (nw) (Fig.d) (Fig.3c) (Fig.4b) DVL (Fig.5a) GDI (Fig.7b) Domino (Fig.8b) Fig.17: Avg. power consumption for various type of AND gate Fig.18: PDP of various type of AND gate PDP (fj) (Fig.d) (Fig.3c) (Fig.4b) DVL (Fig.5a) GDI (Fig.7b) Domino (Fig.8b) DISCUSSION After individual discussion of the individual logic style, comparison must be done among them to know which design technique is more optimized and suitable in terms of Average power consumption, Propagation Delay and Power delay product (PDP) for implementation of XOR gate and the AND gate. From the Fig. 13 it is clearly shown that based XOR and the AND gate is better than others in terms of Transistor count or number. But transistor count is not only the single parameter for any implementation in VLSI. We have to consider other parameters like Average power consumption, Propagation delay, Power delay product (PDP). Now let s start with the discussion with respect to Average power consumption for choosing the suitable logic style for XOR and the AND gate implementation. From Fig. 15 it is seen that logic style XOR gate and Fig.17 GDI logic style AND gate gives the lowest average power consumption. The next parameter is Propagation delay. From the Fig.14 it is seen that in logic style XOR gate propagation delay is less. From Fig.16 has been seen that based XOR gates and Fig. 18 the AND gate with GDI design has minimum PDP than other design techniques. CONCLUSION In this paper, we have reviewed various design techniques for XOR-AND gates. The mentioned design techniques are compared based on a delay, power consumption, and PDP. The performances of these techniques have been evaluated by TANNER TOOLS using a 9nm CMOS technology. These design techniques are suitable for arithmetic gates and other VLSI applications with very low power consumption and a very high speed performance. Based on the simulation results, it has been seen that based XOR gates and the AND gate with GDI design has very low power consumption and a minimum PDP than other design techniques. REFERENCES [1] S. Roy Chowdhury, A Banerjee, A. Roy, and H. Saha, A High Speed 8 Transistor Full Adder Design using Novel 3 Transistor XOR Gates, International Journal of Electronics, Gates and Systems, WASET Fall,(8). [] Sung-Mo Kang, Y. Leblibici, CMOS Digital Integrated Gates: Analysis and Design, Addition-TGH(3). [3] K.H. Cheng and C.S. Huang, The novel efficient design of XOR/XNOR function for adder applications, in Proc. IEEE Int. Conf. Elect., Gates Syst., 1: 9-3(1999). [4] W. Al-Assadi, A. P. Jayasumana, and Y. K. Malaiya, Pass transistor logic design, Int. J. Electron vol. 7, pp , [5] Sung-Chuan Fang, Jyh-Ming Wang, Wu-Shiung Feng, A New Direct design for three-input XOR function on the transistor level, IEEE Trans. Gates Syst. I: Fundamental theory and Applications, 43(4): (1996). [6] Km. Deepmala, Prof.B.P.Singh, " New Efficient T and Gate Design International Conference on VLSI, Communication & Instrumentation (ICVCI) 11. [7]H.Lee and G. E.Sobelman, Newlow-voltage gates for XOR and XNOR, in Proc. IEEE Southeastcon, Apr. 1-14, pp. 5-9(1997). [8]V.G. Oklobdzˇija, B. Duchene, Pass-Transistor Dual ValueLogic for Low-Power CMOS, Proceedings of International Symposium on VLSI Technology, Systems, and Applications, May June, 1995, pp [9] A. Morgenshtein, A. Fish, and I. A. Wagner, Gate-Diffusion Input (GDI): A Power-Efficient Method for Digital Combinational Circuits, IEEE Trans. Very Large Scale Integ. Syst. (VLSI), 1(5): ().

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates Anil Kumar 1 Kuldeep Singh 2 Student Assistant Professor Department of Electronics and Communication Engineering Guru Jambheshwar

More information

Implementation of Efficient 5:3 & 7:3 Compressors for High Speed and Low-Power Operations

Implementation of Efficient 5:3 & 7:3 Compressors for High Speed and Low-Power Operations Volume-7, Issue-3, May-June 2017 International Journal of Engineering and Management Research Page Number: 42-47 Implementation of Efficient 5:3 & 7:3 Compressors for High Speed and Low-Power Operations

More information

Comparison of High Speed & Low Power Techniques GDI & McCMOS in Full Adder Design

Comparison of High Speed & Low Power Techniques GDI & McCMOS in Full Adder Design International Conference on Multidisciplinary Research & Practice P a g e 625 Comparison of High Speed & Low Power Techniques & in Full Adder Design Shikha Sharma 1, ECE, Geetanjali Institute of Technical

More information

A Literature Survey on Low PDP Adder Circuits

A Literature Survey on Low PDP Adder Circuits Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 12, December 2015,

More information

A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION

A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION Mr. Snehal Kumbhalkar 1, Mr. Sanjay Tembhurne 2 Department of Electronics and Communication Engineering GHRAET, Nagpur, Maharashtra,

More information

A New High Speed - Low Power 12 Transistor Full Adder Design with GDI Technique

A New High Speed - Low Power 12 Transistor Full Adder Design with GDI Technique International Journal of Scientific & Engineering Research Volume 3, Issue 7, July-2012 1 A New High Speed - Low Power 12 Transistor Full Design with GDI Technique Shahid Jaman, Nahian Chowdhury, Aasim

More information

Design of Low Power High Speed Hybrid Full Adder

Design of Low Power High Speed Hybrid Full Adder IJECT Vo l. 6, Is s u e 4, Oc t - De c 2015 ISSN : 2230-7109 (Online) ISSN : 2230-9543 (Print) Design of Low Power High Speed Hybrid Full Adder 1 P. Kiran Kumar, 2 P. Srikanth 1,2 Dept. of ECE, MVGR College

More information

Design and Implementation of Complex Multiplier Using Compressors

Design and Implementation of Complex Multiplier Using Compressors Design and Implementation of Complex Multiplier Using Compressors Abstract: In this paper, a low-power high speed Complex Multiplier using compressor circuit is proposed for fast digital arithmetic integrated

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 5.71 International Journal of Advance Engineering and Research Development Volume 5, Issue 05, May -2018 e-issn (O): 2348-4470 p-issn (P): 2348-6406 COMPARATIVE

More information

POWER DELAY PRODUCT AND AREA REDUCTION OF FULL ADDERS USING SYSTEMATIC CELL DESIGN METHODOLOGY

POWER DELAY PRODUCT AND AREA REDUCTION OF FULL ADDERS USING SYSTEMATIC CELL DESIGN METHODOLOGY This work by IJARBEST is licensed under Creative Commons Attribution 4.0 International License. Available at https://www.ijarbest.com ISSN (ONLINE): 2395-695X POWER DELAY PRODUCT AND AREA REDUCTION OF

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 8, August ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 8, August ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 8, August-2013 1156 Novel Low Power Shrikant and M Pattar, High H V Ravish Speed Aradhya 8T Full Adder Abstract - Full adder

More information

Enhancement of Design Quality for an 8-bit ALU

Enhancement of Design Quality for an 8-bit ALU ABHIYANTRIKI An International Journal of Engineering & Technology (A Peer Reviewed & Indexed Journal) Vol. 3, No. 5 (May, 2016) http://www.aijet.in/ eissn: 2394-627X Enhancement of Design Quality for an

More information

Performance Analysis of High Speed Low Power Carry Look-Ahead Adder Using Different Logic Styles

Performance Analysis of High Speed Low Power Carry Look-Ahead Adder Using Different Logic Styles International Journal of Soft Computing and Engineering (IJSCE) ISSN: 2231-237, Volume-2, Issue-6, Jan- 213 Performance Analysis of High Speed Low Power Carry Look-Ahead Adder Using Different Logic Styles

More information

Design of XOR gates in VLSI implementation

Design of XOR gates in VLSI implementation Design of XOR gates in VLSI implementation Nabihah hmad, Rezaul Hasan School of Engineering and dvanced Technology Massey University, uckland N.hmad@massey.ac.nz, hasanmic@massey.ac.nz bstract: Exclusive

More information

A REVIEW PAPER ON HIGH PERFORMANCE 1- BIT FULL ADDERS DESIGN AT 90NM TECHNOLOGY

A REVIEW PAPER ON HIGH PERFORMANCE 1- BIT FULL ADDERS DESIGN AT 90NM TECHNOLOGY I J C T A, 9(11) 2016, pp. 4947-4956 International Science Press A REVIEW PAPER ON HIGH PERFORMANCE 1- BIT FULL ADDERS DESIGN AT 90NM TECHNOLOGY N. Lokabharath Reddy *, Mohinder Bassi **2 and Shekhar Verma

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

DESIGN AND ANALYSIS OF LOW POWER 10- TRANSISTOR FULL ADDERS USING NOVEL X-NOR GATES

DESIGN AND ANALYSIS OF LOW POWER 10- TRANSISTOR FULL ADDERS USING NOVEL X-NOR GATES DESIGN AND ANALYSIS OF LOW POWER 10- TRANSISTOR FULL ADDERS USING NOVEL X-NOR GATES Basil George 200831005 Nikhil Soni 200830014 Abstract Full adders are important components in applications such as digital

More information

Gdi Technique Based Carry Look Ahead Adder Design

Gdi Technique Based Carry Look Ahead Adder Design IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 6, Ver. I (Nov - Dec. 2014), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Gdi Technique Based Carry Look Ahead Adder Design

More information

DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER

DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER Mr. M. Prakash Mr. S. Karthick Ms. C Suba PG Scholar, Department of ECE, BannariAmman Institute of Technology, Sathyamangalam, T.N, India 1, 3 Assistant

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

Design and Analysis of CMOS based Low Power Carry Select Full Adder

Design and Analysis of CMOS based Low Power Carry Select Full Adder Design and Analysis of CMOS based Low Power Carry Select Full Adder Mayank Sharma 1, Himanshu Prakash Rajput 2 1 Department of Electronics & Communication Engineering Hindustan College of Science & Technology,

More information

Figure 1 Basic Block diagram of self checking logic circuit

Figure 1 Basic Block diagram of self checking logic circuit Volume 4, Issue 7, July 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Design Analysis

More information

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES PSowmya #1, Pia Sarah George #2, Samyuktha T #3, Nikita Grover #4, Mrs Manurathi *1 # BTech,Electronics and Communication,Karunya

More information

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Mr. Y.Satish Kumar M.tech Student, Siddhartha Institute of Technology & Sciences. Mr. G.Srinivas, M.Tech Associate

More information

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications International Journal of Research Studies in Computer Science and Engineering (IJRSCSE) Volume. 1, Issue 5, September 2014, PP 30-42 ISSN 2349-4840 (Print) & ISSN 2349-4859 (Online) www.arcjournals.org

More information

Implementation of Carry Select Adder using CMOS Full Adder

Implementation of Carry Select Adder using CMOS Full Adder Implementation of Carry Select Adder using CMOS Full Adder Smitashree.Mohapatra Assistant professor,ece department MVSR Engineering College Nadergul,Hyderabad-510501 R. VaibhavKumar PG Scholar, ECE department(es&vlsid)

More information

DESIGN OF LOW POWER CMOS THREE INPUT XOR/XNOR

DESIGN OF LOW POWER CMOS THREE INPUT XOR/XNOR DESIGN OF LOW POWER CMOS THREE INPUT XOR/XNOR D.lakshmaiah 1 (Ph.D),T.sai baba 2 M.Tech,B.sravani #, M. kalyani #, G.priya darshini #, D.shashi kumar # 1 Asso. Professor, 2 Assit.Professor # B.Tech students

More information

CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS

CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS 87 CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS 6.1 INTRODUCTION In this approach, the four types of full adders conventional, 16T, 14T and 10T have been analyzed in terms of

More information

Design of an Energy Efficient, Low Power Dissipation Full Subtractor Using GDI Technique

Design of an Energy Efficient, Low Power Dissipation Full Subtractor Using GDI Technique Design of an Energy Efficient, Low Power Dissipation Full Subtractor Using GDI Technique ABSTRACT: Rammohan Kurugunta M.Tech Student, Department of ECE, Intel Engineering College, Anantapur, Andhra Pradesh,

More information

International Journal on Emerging Technologies 1(1): 1-10(2010) ISSN :

International Journal on Emerging Technologies 1(1): 1-10(2010) ISSN : e t International Journal on Emerging Technologies 1(1): 1-10(2010) ISSN : 0975-8364 comparative performance analysis of various CMOS design techniques for and circuits Shiv Shankar Mishra, darsh Kumar

More information

An energy efficient full adder cell for low voltage

An energy efficient full adder cell for low voltage An energy efficient full adder cell for low voltage Keivan Navi 1a), Mehrdad Maeen 2, and Omid Hashemipour 1 1 Faculty of Electrical and Computer Engineering of Shahid Beheshti University, GC, Tehran,

More information

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Dr. Saravanan Savadipalayam Venkatachalam Principal and Professor, Department of Mechanical

More information

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique Mohd Shahid M.Tech Student Al-Habeeb College of Engineering and Technology. Abstract Arithmetic logic unit (ALU) is an

More information

Energy Efficient Full-adder using GDI Technique

Energy Efficient Full-adder using GDI Technique Energy Efficient Full-adder using GDI Technique Balakrishna.Batta¹, Manohar.Choragudi², Mahesh Varma.D³ ¹P.G Student, Kakinada Institute of Engineering and technology, korangi, JNTUK, A.P, INDIA ²Assistant

More information

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN Mr. Sunil Jadhav 1, Prof. Sachin Borse 2 1 Student (M.E. Digital Signal Processing), Late G. N. Sapkal College of Engineering, Nashik,jsunile@gmail.com 2 Professor

More information

DESIGN OF MULTIPLIER USING GDI TECHNIQUE

DESIGN OF MULTIPLIER USING GDI TECHNIQUE DESIGN OF MULTIPLIER USING GDI TECHNIQUE 1 Bini Joy, 2 N. Akshaya, 3 M. Sathia Priya 1,2,3 PG Students, Dept of ECE/SNS College of Technology Tamil Nadu (India) ABSTRACT Multiplier is the most commonly

More information

Design of Two High Performance 1-Bit CMOS Full Adder Cells

Design of Two High Performance 1-Bit CMOS Full Adder Cells Int. J. Com. Dig. Sys. 2, No., 47-52 (23) 47 International Journal of Computing and Digital Systems -- An International Journal @ 23 UOB CSP, University of Bahrain Design of Two High Performance -Bit CMOS

More information

Design and Simulation of Novel Full Adder Cells using Modified GDI Cell

Design and Simulation of Novel Full Adder Cells using Modified GDI Cell Design and Simulation of Novel Full Adder Cells using Modified GDI Cell 1 John George Victor, 2 Dr M Sunil Prakash 1,2 Dept of ECE, MVGR College of Engineering, Vizianagaram, India IJECT Vo l 6, Is s u

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

ISSN Vol.04, Issue.05, May-2016, Pages:

ISSN Vol.04, Issue.05, May-2016, Pages: ISSN 2322-0929 Vol.04, Issue.05, May-2016, Pages:0332-0336 www.ijvdcs.org Full Subtractor Design of Energy Efficient, Low Power Dissipation Using GDI Technique M. CHAITANYA SRAVANTHI 1, G. RAJESH 2 1 PG

More information

Design of High Speed Six Transistor Full Adder using a Novel Two Transistor XOR Gates

Design of High Speed Six Transistor Full Adder using a Novel Two Transistor XOR Gates Design of High Speed Six Transistor Full Adder using a Novel Two Transistor XOR Gates 1 Pakkiraiah Chakali, 2 Adilakshmi Siliveru, 3 Neelima Koppala Abstract In modern era, the number of transistors are

More information

A Novel Hybrid Full Adder using 13 Transistors

A Novel Hybrid Full Adder using 13 Transistors A Novel Hybrid Full Adder using 13 Transistors Lee Shing Jie and Siti Hawa binti Ruslan Department of Electrical and Electronic Engineering, Faculty of Electric & Electronic Engineering Universiti Tun

More information

Implementation of 1-bit Full Adder using Gate Difuision Input (GDI) cell

Implementation of 1-bit Full Adder using Gate Difuision Input (GDI) cell International Journal of Electronics and Computer Science Engineering 333 Available Online at www.ijecse.org ISSN: 2277-1956 Implementation of 1-bit Full Adder using Gate Difuision Input (GDI) cell Arun

More information

Low Power &High Speed Domino XOR Cell

Low Power &High Speed Domino XOR Cell Low Power &High Speed Domino XOR Cell Payal Soni Electronics and Communication Department, FET- Mody University Lakshmangarh, Dist.-Sikar, India E-mail: payal.soni3091@gmail.com Abstract Shiwani Singh

More information

Analysis of Different CMOS Full Adder Circuits Based on Various Parameters for Low Voltage VLSI Design

Analysis of Different CMOS Full Adder Circuits Based on Various Parameters for Low Voltage VLSI Design International Journal of Engineering and Technical Research (IJETR) Analysis of Different CMOS Full Adder Circuits Based on Various Parameters for Low Voltage VLSI Design Mr. Kapil Mangla, Mr. Shashank

More information

Design of GDI Based Power Efficient Combinational Circuits and Comparison with Other Logic Styles

Design of GDI Based Power Efficient Combinational Circuits and Comparison with Other Logic Styles Design of GDI Based Power Efficient Combinational Circuits and Comparison with Other Logic Styles Silpa T S, Athira V R Abstract In the modern era, power dissipation has become a major and vital constraint

More information

LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR

LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR B. Sathiyabama 1, Research Scholar, Sathyabama University, Chennai, India, mathumithasurya@gmail.com Abstract Dr. S. Malarkkan 2, Principal,

More information

Design and Performance Analysis of High Speed Low Power 1 bit Full Adder

Design and Performance Analysis of High Speed Low Power 1 bit Full Adder Design and Performance Analysis of High Speed Low Power 1 bit Full Adder Gauri Chopra 1, Sweta Snehi 2 PG student [RNA], Dept. of MAE, IGDTUW, New Delhi, India 1 PG Student [VLSI], Dept. of ECE, IGDTUW,

More information

Low power high speed hybrid CMOS Full Adder By using sub-micron technology

Low power high speed hybrid CMOS Full Adder By using sub-micron technology Low power high speed hybrid CMOS Full Adder By using sub-micron technology Ch.Naveen Kumar 1 Assistant professor,ece department GURUNANAK institutions technical campus Hyderabad-501506 A.V. Rameshwar Rao

More information

Keywords: VLSI; CMOS; Pass Transistor Logic (PTL); Gate Diffusion Input (GDI); Parellel In Parellel Out (PIPO); RAM. I.

Keywords: VLSI; CMOS; Pass Transistor Logic (PTL); Gate Diffusion Input (GDI); Parellel In Parellel Out (PIPO); RAM. I. Comparison and analysis of sequential circuits using different logic styles Shofia Ram 1, Rooha Razmid Ahamed 2 1 M. Tech. Student, Dept of ECE, Rajagiri School of Engg and Technology, Cochin, Kerala 2

More information

ADVANCES in NATURAL and APPLIED SCIENCES

ADVANCES in NATURAL and APPLIED SCIENCES ADVANCES in NATURAL and APPLIED SCIENCES ISSN: 1995-0772 Published BYAENSI Publication EISSN: 1998-1090 http://www.aensiweb.com/anas 2017 Special 11(6): pages 599-604 Open Access Journal Design A Full

More information

INTERNATIONAL JOURNAL OF COMPUTER ENGINEERING & TECHNOLOGY (IJCET)

INTERNATIONAL JOURNAL OF COMPUTER ENGINEERING & TECHNOLOGY (IJCET) INTERNATIONAL JOURNAL OF COMPUTER ENGINEERING & TECHNOLOGY (IJCET) International Journal of Computer Engineering and Technology (IJCET), ISSN 0976 6367(Print), ISSN 0976 6367(Print) ISSN 0976 6375(Online)

More information

PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY

PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY International Journal of Microelectronics Engineering (IJME), Vol. 1, No.1, 215 PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY K.Dhanunjaya 1, Dr.MN.Giri Prasad 2, Dr.K.Padmaraju

More information

Design and Implementation of an Ultra-Low Power High Speed CMOS Logic using Cadence

Design and Implementation of an Ultra-Low Power High Speed CMOS Logic using Cadence Design and Implementation of an Ultra-Low Power High Speed CMOS Logic using Cadence L.Vasanth 1, D. Yokeshwari 2 1 Assistant Professor, 2 PG Scholar, Department of ECE Tejaa Shakthi Institute of Technology

More information

r 2 ISSN Multiplier can large product bits in operation. process for Multiplication In is composed adder carry and of Tree Multiplier

r 2 ISSN Multiplier can large product bits in operation. process for Multiplication In is composed adder carry and of Tree Multiplier Implementation Comparison of Tree Multiplier using Different Circuit Techniques Subhag Yadav, Vipul Bhatnagar, Department of Electronics Communication, Inderprastha Engineering College, UPTU, Ghaziabad,

More information

Power Efficient Arithmetic Logic Unit

Power Efficient Arithmetic Logic Unit Power Efficient Arithmetic Logic Unit Silpa T S, Athira V R Abstract In the modern era, power dissipation has become a major and vital constraint in electronic industry. Many techniques were already introduced

More information

POWER EFFICIENT CARRY PROPAGATE ADDER

POWER EFFICIENT CARRY PROPAGATE ADDER POWER EFFICIENT CARRY PROPAGATE ADDER Laxmi Kumre 1, Ajay Somkuwar 2 and Ganga Agnihotri 3 1,2 Department of Electronics Engineering, MANIT, Bhopal, INDIA laxmikumre99@rediffmail.com asomkuwar@gmail.com

More information

A SURVEY OF LOW POWER HIGH SPEED ONE BIT FULL ADDER

A SURVEY OF LOW POWER HIGH SPEED ONE BIT FULL ADDER A SURVEY OF LOW POWER HIGH SPEED ONE BIT FULL ADDER N. M. CHORE 1, R. N. MANDAVGANE 2 Department of Electronic Engineering B. D. College of Engineering Rashtra Sant Tukdoji Maharaj Nagpur University Wardha,

More information

High Performance Low-Power Signed Multiplier

High Performance Low-Power Signed Multiplier High Performance Low-Power Signed Multiplier Amir R. Attarha Mehrdad Nourani VLSI Circuits & Systems Laboratory Department of Electrical and Computer Engineering University of Tehran, IRAN Email: attarha@khorshid.ece.ut.ac.ir

More information

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Gaddam Sushil Raj B.Tech, Vardhaman College of Engineering. ABSTRACT: Arithmetic logic unit (ALU) is an important part of microprocessor. In

More information

An Efficient and High Speed 10 Transistor Full Adders with Lector Technique

An Efficient and High Speed 10 Transistor Full Adders with Lector Technique IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 5, Ver. II (Sep.- Oct. 2017), PP 68-73 www.iosrjournals.org An Efficient and

More information

Implementation of Low Power High Speed Full Adder Using GDI Mux

Implementation of Low Power High Speed Full Adder Using GDI Mux Implementation of Low Power High Speed Full Adder Using GDI Mux Thanuja Kummuru M.Tech Student Department of ECE Audisankara College of Engineering and Technology. Abstract The binary adder is the critical

More information

Design and Analysis of Low-Power 11- Transistor Full Adder

Design and Analysis of Low-Power 11- Transistor Full Adder Design and Analysis of Low-Power 11- Transistor Full Adder Ravi Tiwari, Khemraj Deshmukh PG Student [VLSI, Dept. of ECE, Shri Shankaracharya Technical Campus(FET), Bhilai, Chattisgarh, India 1 Assistant

More information

DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC

DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC 1 S.Varalakshmi, 2 M. Rajmohan, M.Tech, 3 P. Pandiaraj, M.Tech 1 M.Tech Department of ECE, 2, 3 Asst.Professor, Department of ECE, 1,

More information

II. Previous Work. III. New 8T Adder Design

II. Previous Work. III. New 8T Adder Design ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: High Performance Circuit Level Design For Multiplier Arun Kumar

More information

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer G.Bramhini M.Tech (VLSI), Vidya Jyothi Institute of Technology. G.Ravi Kumar, M.Tech Assistant Professor, Vidya Jyothi Institute of

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

Impact of Logic and Circuit Implementation on Full Adder Performance in 50-NM Technologies

Impact of Logic and Circuit Implementation on Full Adder Performance in 50-NM Technologies Impact of Logic and Circuit Implementation on Full Adder Performance in 50-NM Technologies Mahesh Yerragudi 1, Immanuel Phopakura 2 1 PG STUDENT, AVR & SVR Engineering College & Technology, Nandyal, AP,

More information

A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design

A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design 1 B. Dilli Kumar, 2 A. Chandra Babu, 2 V. Prasad 1 Assistant Professor, Dept. of ECE, Yoganada Institute of Technology & Science,

More information

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE P a g e 80 Available online at http://arjournal.org APPLIED RESEARCH JOURNAL RESEARCH ARTICLE ISSN: 2423-4796 Applied Research Journal Vol. 3, Issue, 2, pp.80-86, February, 2017 COMPARATIVE STUDY ON SINGLE

More information

Digital Microelectronic Circuits ( ) Pass Transistor Logic. Lecture 9: Presented by: Adam Teman

Digital Microelectronic Circuits ( ) Pass Transistor Logic. Lecture 9: Presented by: Adam Teman Digital Microelectronic Circuits (361-1-3021 ) Presented by: Adam Teman Lecture 9: Pass Transistor Logic 1 Motivation In the previous lectures, we learned about Standard CMOS Digital Logic design. CMOS

More information

Design of 64-Bit Low Power ALU for DSP Applications

Design of 64-Bit Low Power ALU for DSP Applications Design of 64-Bit Low Power ALU for DSP Applications J. Nandini 1, V.V.M.Krishna 2 1 M.Tech Scholar [VLSI Design], Department of ECE, KECW, Narasaraopet, A.P., India 2 Associate Professor, Department of

More information

ISSN: [Kumar* et al., 6(5): May, 2017] Impact Factor: 4.116

ISSN: [Kumar* et al., 6(5): May, 2017] Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY IMPROVEMENT IN NOISE AND DELAY IN DOMINO CMOS LOGIC CIRCUIT Ankit Kumar*, Dr. A.K. Gautam * Student, M.Tech. (ECE), S.D. College

More information

Power Efficient adder Cell For Low Power Bio MedicalDevices

Power Efficient adder Cell For Low Power Bio MedicalDevices IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 2, Ver. III (Mar-Apr. 2014), PP 39-45 e-issn: 2319 4200, p-issn No. : 2319 4197 Power Efficient adder Cell For Low Power Bio MedicalDevices

More information

Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique

Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique Ch. Mohammad Arif 1, J. Syamuel John 2 M. Tech student, Department of Electronics Engineering, VR Siddhartha Engineering College,

More information

Analysis of Different Full Adder Designs with Power using CMOS 130nm Technology

Analysis of Different Full Adder Designs with Power using CMOS 130nm Technology Analysis of Different Full Adder Designs with Power using CMOS 130nm Technology J. Kavitha 1, J. Satya Sai 2, G. Gowthami 3, K.Gopi 4, G.Shainy 5, K.Manvitha 6 1, 2, 3, 4, 5, St. Ann s College of Engineering

More information

Comparative Study on CMOS Full Adder Circuits

Comparative Study on CMOS Full Adder Circuits Comparative Study on CMOS Full Adder Circuits Priyanka Rathore and Bhavna Jharia Abstract The Presented paper focuses on the comparison of seven full adders. The comparison is based on the power consumption

More information

A SUBSTRATE BIASED FULL ADDER CIRCUIT

A SUBSTRATE BIASED FULL ADDER CIRCUIT International Journal on Intelligent Electronic System, Vol. 8 No.. July 4 9 A SUBSTRATE BIASED FULL ADDER CIRCUIT Abstract Saravanakumar C., Senthilmurugan S.,, Department of ECE, Valliammai Engineering

More information

PERFORMANANCE ANALYSIS OF A 1-BIT FULL ADDER USING 45nm TECHNOLOGY

PERFORMANANCE ANALYSIS OF A 1-BIT FULL ADDER USING 45nm TECHNOLOGY Research Manuscript Title PERFORMANANCE ANALYSIS OF A 1-BIT FULL ADDER USING 45nm TECHNOLOGY A.NIVETHA, M.Hemalatha, P.G.Scholar, Assistant Professor, M.E VLSI Design, Department of ECE Vivekanandha College

More information

ONE BIT 8T FULL ADDER CIRCUIT USING 3T XOR GATE AND ONE MULTIPLEXER

ONE BIT 8T FULL ADDER CIRCUIT USING 3T XOR GATE AND ONE MULTIPLEXER ONE BIT 8T FULL ADDER CIRCUIT USING 3T XOR GATE AND ONE MULTIPLEXER Priyanka Rathoreˡ and Bhavana Jharia² ˡPG Student, Ujjain engg. College, Ujjain ²Professor, ECE dept., UEC, Ujjain ABSTRACT This paper

More information

Full Adder Circuits using Static Cmos Logic Style: A Review

Full Adder Circuits using Static Cmos Logic Style: A Review Full Adder Circuits using Static Cmos Logic Style: A Review Sugandha Chauhan M.E. Scholar Department of Electronics and Communication Chandigarh University Gharuan,Punjab,India Tripti Sharma Professor

More information

An Arithmetic and Logic Unit Using GDI Technique

An Arithmetic and Logic Unit Using GDI Technique An Arithmetic and Logic Unit Using GDI Technique Yamini Tarkal Bambole M.Tech (VLSI System Design) JNTU, Hyderabad. Abstract: This paper presents a design of a 4-bit arithmetic logic unit (ALU) by taking

More information

Study of Threshold Gate and CMOS Logic Style Based Full Adders Circuits

Study of Threshold Gate and CMOS Logic Style Based Full Adders Circuits IEEE SPONSORED 3rd INTERNATIONAL CONFERENCE ON ELECTRONICS AND COMMUNICATION SYSTEMS (ICECS 2016) Study of Threshold Gate and CMOS Logic Style Based Full Adders Circuits Raushan Kumar Department of ECE

More information

Design and Analyse Low Power Wallace Multiplier Using GDI Technique

Design and Analyse Low Power Wallace Multiplier Using GDI Technique IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 2, Ver. III (Mar.-Apr. 2017), PP 49-54 www.iosrjournals.org Design and Analyse

More information

Two New Low Power High Performance Full Adders with Minimum Gates

Two New Low Power High Performance Full Adders with Minimum Gates Two New Low Power High Performance Full Adders with Minimum Gates M.Hosseinghadiry, H. Mohammadi, M.Nadisenejani Abstract with increasing circuits complexity and demand to use portable devices, power consumption

More information

Two New Low Power High Performance Full Adders with Minimum Gates

Two New Low Power High Performance Full Adders with Minimum Gates Two New Low Power High Performance Full Adders with Minimum Gates M.Hosseinghadiry, H. Mohammadi, M.Nadisenejani Abstract with increasing circuits complexity and demand to use portable devices, power consumption

More information

High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells

High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells Reza Faghih Mirzaee, Mohammad Hossein Moaiyeri, Keivan Navi Abstract In this paper we present two novel 1-bit full adder cells in dynamic logic

More information

Design of Modified Shannon Based Full Adder Cell Using PTL Logic for Low Power Applications

Design of Modified Shannon Based Full Adder Cell Using PTL Logic for Low Power Applications Design of Modified Shannon Based Full Adder Cell Using PTL Logic for Low Power Applications K.Purnima #1, S.AdiLakshmi #2, M.Sahithi #3, A.Jhansi Rani #4,J.Poornima #5 #1 M.Tech student, Department of

More information

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop Indian Journal of Science and Technology, Vol 8(7), 622 628, April 2015 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 DOI: 10.17485/ijst/2015/v8i7/62847 A High Performance Asynchronous Counter using

More information

A HIGH SPEED DYNAMIC RIPPLE CARRY ADDER

A HIGH SPEED DYNAMIC RIPPLE CARRY ADDER A HIGH SPEED DYNAMIC RIPPLE CARRY ADDER Y. Anil Kumar 1, M. Satyanarayana 2 1 Student, Department of ECE, MVGR College of Engineering, India. 2 Associate Professor, Department of ECE, MVGR College of Engineering,

More information

Pardeep Kumar, Susmita Mishra, Amrita Singh

Pardeep Kumar, Susmita Mishra, Amrita Singh Study of Existing Full Adders and To Design a LPFA (Low Power Full Adder) Pardeep Kumar, Susmita Mishra, Amrita Singh 1 Department of ECE, B.M.S.E.C, Muktsar, 2,3 Asstt. Professor, B.M.S.E.C, Muktsar Abstract

More information

Design of Robust and power Efficient 8-Bit Ripple Carry Adder using Different Logic Styles

Design of Robust and power Efficient 8-Bit Ripple Carry Adder using Different Logic Styles Design of Robust and power Efficient 8-Bit Ripple Carry Adder using Different Logic Styles Mangayarkkarasi M 1, Joseph Gladwin S 2 1 Assistant Professor, 2 Associate Professor 12 Department of ECE 1 Sri

More information

Low-Power High-Speed Double Gate 1-bit Full Adder Cell

Low-Power High-Speed Double Gate 1-bit Full Adder Cell INTL JOURNAL OF ELECTRONICS AND TELECOMMUNICATIONS, 2016, VOL. 62, NO. 4, PP. 329-334 Manuscript received October 15, 2016; revised November, 2016. DOI: 10.1515/eletel-2016-0045 Low-Power High-Speed Double

More information

Low Power and High Performance ALU using Dual Mode Transmission Gate Diffusion Input (DMTGDI)

Low Power and High Performance ALU using Dual Mode Transmission Gate Diffusion Input (DMTGDI) International Journal of Engineering and Advanced Technology (IJEAT) ISSN: 2249 8958, Volume-6 Issue-6, August 2017 Low Power and High Performance ALU using Dual Mode Transmission Gate Diffusion Input

More information

International Journal of Advanced Research in Biology Engineering Science and Technology (IJARBEST)

International Journal of Advanced Research in Biology Engineering Science and Technology (IJARBEST) Abstract NEW HIGH PERFORMANCE 4 BIT PARALLEL ADDER USING DOMINO LOGIC Department Of Electronics and Communication Engineering UG Scholar, SNS College of Engineering Bhuvaneswari.N [1], Hemalatha.V [2],

More information

ISSN: [Narang* et al., 6(8): August, 2017] Impact Factor: 4.116

ISSN: [Narang* et al., 6(8): August, 2017] Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY PERFORMANCE ANALYSIS OF A LOW-POWER HIGH-SPEED HYBRID 1-BIT FULL ADDER CIRCUIT AND ITS IMPLEMENTATION Swati Narang Electronics

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

[Singh*, 5(3): March, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785

[Singh*, 5(3): March, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY COMPARISON OF GDI BASED D FLIP FLOP CIRCUITS USING 90NM AND 180NM TECHNOLOGY Gurwinder Singh*, Ramanjeet Singh ECE Department,

More information

Pass Transistor and CMOS Logic Configuration based De- Multiplexers

Pass Transistor and CMOS Logic Configuration based De- Multiplexers Abstract: Pass Transistor and CMOS Logic Configuration based De- Multiplexers 1 K Rama Krishna, 2 Madanna, 1 PG Scholar VLSI System Design, Geethanajali College of Engineering and Technology, 2 HOD Dept

More information