PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO

Size: px
Start display at page:

Download "PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO"

Transcription

1 PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO Paras Gupta 1, Pranjal Ahluwalia 2, Kanishk Sanwal 3, Peyush Pande 4 1,2,3,4 Department of Electronics and Communication Engineering, Graphic Era University, Dehradun, (India) ABSTRACT This paper deals with backend implementation of gates using 0.18µm technology in Cadence Virtuoso 6.14 software and optimization of factors like power dissipation, size and number of transistors involved in digital circuits. So, optimizing these components will ultimately result in optimized utilization of all kinds of resources. This project also throws light on innovative transistor-transistor logic (TTL) structures of various digital components like AND gate, OR gate etc. based on pass transistor logic. These structures utilize fewer transistors and dissipate lesser power in comparison to same devices implemented by conventional CMOS logic. I. INTRODUCTION Pass transistor logic (PTL) have become popular since they offer the possibility to implement high speed and low power circuits in certain applications. A large number of such circuits have been developed with increased performance in terms of speed and power efficiency as well as synthesis methodologies that target pass transistor implementations Generally, the use of pass transistor logic leads to reduced transistor count and smaller node capacitances thus decreasing the required area, rise/fall times and power dissipation. From the implementation point of view, the efficient design of integrated circuits depends strongly on CAD tools that can estimate their performance fast and accurately. Since the transistor count on integrated circuits is increasing, there is an intense need for modeling techniques which can offer sufficient accuracy but are orders of magnitude faster than tools based on numerical methods. II. LOGIC STYLES The logic style used in logic gates basically influences the speed, size, power dissipation, and the wiring complexity of a Circuit. The circuit delay is determined by the number of inversion levels, the number of transistors in series, transistor sizes. Circuit size depends on the number of transistors and their sizes, and also on the wiring complexity. Power dissipation is determined by the switching activity and the node capacitances (made up of gate, diffusion, and wire capacitances), the latter of which in turn is a function of the same parameters that also control circuit size. Finally, the wiring complexity is determined by the number of connections and their lengths. All these characteristic may vary considerably from one logic style to another and thus make the proper choice of logic style crucial for circuit performance. As far as cell-based design techniques (e.g., standard-cells) and logic synthesis are concerned, ease-of-use and generality of logic gates is of importance as well. 38 P a g e

2 PTL design style has emerged as a promising alternative to conventional CMOS, for low power design. Pass transistor logic device consumes much lesser power in comparison to CMOS logic device implementing same logic. (1)* Here P avg is average power dissipation, α Ti is correspondence node transition node factor, C i is parasitic capacitance associated with each node, V i is node voltage, V DD is power supply voltage and f CLK is clock frequency. From equation, it is clear that the average power dissipation of every device is directly dependent on number of nodes (i.e. transistors) and supply voltage. With reduction in any of them we can achieve reduction in average power dissipation of the devices. Pass transistor logic not only reduces the power dissipation but also reduces overall size of chip, making it more efficient and economical. Here is an example in which AND gate, OR gate etc. are implemented using pass transistor logic and CMOS logic and their results are analyzed. III. EXPERIMENTAL RESULTS In this paper PTL design of AND, OR and NAND is considered. The parameters considered are power dissipation, rise time, fall time and propagation delay. PARAMETER CMOS VALUE PASS VALUE Technology(length) 180nm 180nm Supply Voltage(V DD ) 1.8 Volts 1.8 Volts Width Pmos(4um) Nmos(2um) Pmos(4um) Nmos(2um) Rise time (i/p 10p) ns ns Fall time (i/p 10p) ns ns Propagation delay (i/p 0) ns ns Power consumption *10^-11 W *10^-16 W No. of transistors P a g e

3 Figure.2 OR GATE PARAMETER CMOS VALUE PASS VALUE Technology(length) 180nm 180nm Supply Voltage(V DD ) 1.8 Volts 1.8 Volts Width Pmos(4um) Nmos(2um) Pmos(4um) Nmos(2um) Rise time (i/p 10p) ns ns Fall time (i/p 10p) ns ns Propagation delay (i/p 0) ns ns Power consumption *10^-11 W *10^-11 W No. of transistors 6 2 Figure.3 NAND GATE 40 P a g e

4 PARAMETER CMOS VALUE Technology(length) 180nm PASS VALUE 180nm Supply 1.8 Volts 1.8 Volts Voltage(V DD ) Width Pmos(4um) Nmos(2um) Pmos(4um) Nmos(2um) Rise time (i/p 10p) ns ns Fall time (i/p 10p) ns ns Propagation delay ns ns (i/p 0) Power consumption *10^- 12 W *10^- 11 W No.of transistors 4 5 The comparative results of various designs are shown in Fig 3, 4 and 5. IV. CONCLUSION Transistors in gates designed by pass transistor logic are less than the number of transistors utilized in CMOS logic design. According to equation (1) with decrease in number of transistors overall power dissipation of the device also decreases. Interconnects are minimized in the circuit, hence minimizing the propagation delay occurring in interconnects. Problem with PTL is the restoration of the output level leading to decrease in noise margin. REFERENCES Journal Papers [1] IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 7, JULY Books [2] N. Weste, K. Eshranghian, Principles of CMOS VLSI Design: A System Perspective, Reading MA: Addison-Wesley, (1993). [3] Sung-Mo Kang, Y. Leblibici, CMOS Digital Integrated Circuits: Analysis and Design, Addition-Tata McGraw Hill,(2003). [4] Synopsys Inc, Library Compiler User Guide, Volume II, Chapters I III, P a g e

5 Theses [5] Comparison between nmos Pass Transistor logic style vs. CMOS Complementary Cells, Rakesh Mehrotra, Massoud Pedram Xunwei Wu Dept. of E.E.-Systems Proceedings Papers [6] M. Cheng, M. Irwin, K. Li, and W. Ye, Power Characterization of Functional Units, Conference Record of the Thirty-Third Asilomar Conference on Signals, Systems, and Computers, IEEE, Vol.1, pp , 1999 [7] M. A. Cirit, Characterizing a VLSI Standard Cell Library, Proceedings of Custom Integrated Circuit Conference, IEEE, pp , 1991 [8] J.F. Croix and D.F. Wong, A Fast and Accurate Technique to Optimize Characterization Tables for Logic Synthesis, Proceedings of Design Automation Conference, IEEE, pp , 1997 [9] Jing-Yang Jou, Jing-Yuan Lin and Wen-Zen Shen, A Power Modeling and Characterization Method for the CMOS Standard Cell Library, Digest of Technical Papers, International Conference on Computer Aided Design, IEEE, pp , 1990 [10] Dhimant Patel, CHARMS: Characterization and Modeling System for Accurate Delay Prediction of ASIC Designs, Proceedings of Custom Integrated Circuit Conference, IEEE,pp , 1990 [11] Arun Pratap Singh Rathod, Brijesh Kumar, S. C. Yadav and Poornima Mittal, Low power VLSI design using pass transistor logic, National Technical Expo. 2014, Jointly by NRDC New Delhi and Graphic Era University Dehradun, at Dehradun, India, April-26-27, P a g e

Performance Comparison of Pass Transistor and CMOS Logic Configuration based De-Multiplexers

Performance Comparison of Pass Transistor and CMOS Logic Configuration based De-Multiplexers Performance Comparison of Pass Transistor and CMO Logic Configuration based De-Multiplexers Arun Pratap ingh Rathod, Praveen Lakhera, A. K. Baliga, Poornima Mittal and Brijesh Kumar Department of Electronics

More information

Pass Transistor and CMOS Logic Configuration based De- Multiplexers

Pass Transistor and CMOS Logic Configuration based De- Multiplexers Abstract: Pass Transistor and CMOS Logic Configuration based De- Multiplexers 1 K Rama Krishna, 2 Madanna, 1 PG Scholar VLSI System Design, Geethanajali College of Engineering and Technology, 2 HOD Dept

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July ISSN

International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July ISSN International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July-2015 636 Low Power Consumption exemplified using XOR Gate via different logic styles Harshita Mittal, Shubham Budhiraja

More information

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES PSowmya #1, Pia Sarah George #2, Samyuktha T #3, Nikita Grover #4, Mrs Manurathi *1 # BTech,Electronics and Communication,Karunya

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

Design and Performance Analysis of High Speed Low Power 1 bit Full Adder

Design and Performance Analysis of High Speed Low Power 1 bit Full Adder Design and Performance Analysis of High Speed Low Power 1 bit Full Adder Gauri Chopra 1, Sweta Snehi 2 PG student [RNA], Dept. of MAE, IGDTUW, New Delhi, India 1 PG Student [VLSI], Dept. of ECE, IGDTUW,

More information

Domino CMOS Implementation of Power Optimized and High Performance CLA adder

Domino CMOS Implementation of Power Optimized and High Performance CLA adder Domino CMOS Implementation of Power Optimized and High Performance CLA adder Kistipati Karthik Reddy 1, Jeeru Dinesh Reddy 2 1 PG Student, BMS College of Engineering, Bull temple Road, Bengaluru, India

More information

ISSN:

ISSN: 343 Comparison of different design techniques of XOR & AND gate using EDA simulation tool RAZIA SULTANA 1, * JAGANNATH SAMANTA 1 M.TECH-STUDENT, ECE, Haldia Institute of Technology, Haldia, INDIA ECE,

More information

A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION

A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION Mr. Snehal Kumbhalkar 1, Mr. Sanjay Tembhurne 2 Department of Electronics and Communication Engineering GHRAET, Nagpur, Maharashtra,

More information

Performance Analysis of High Speed Low Power Carry Look-Ahead Adder Using Different Logic Styles

Performance Analysis of High Speed Low Power Carry Look-Ahead Adder Using Different Logic Styles International Journal of Soft Computing and Engineering (IJSCE) ISSN: 2231-237, Volume-2, Issue-6, Jan- 213 Performance Analysis of High Speed Low Power Carry Look-Ahead Adder Using Different Logic Styles

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit

Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit Available online www.ejaet.com European Journal of Advances in Engineering and Technology, 2017, 4 (5): 319-325 Research Article ISSN: 2394-658X Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit

More information

2-Bit Magnitude Comparator Design Using Different Logic Styles

2-Bit Magnitude Comparator Design Using Different Logic Styles International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 Volume 2 Issue 1 ǁ January. 2013 ǁ PP.13-24 2-Bit Magnitude Comparator Design Using Different Logic

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates Anil Kumar 1 Kuldeep Singh 2 Student Assistant Professor Department of Electronics and Communication Engineering Guru Jambheshwar

More information

Design Analysis of 1-bit Comparator using 45nm Technology

Design Analysis of 1-bit Comparator using 45nm Technology Design Analysis of 1-bit Comparator using 45nm Technology Pardeep Sharma 1, Rajesh Mehra 2 1,2 Department of Electronics and Communication Engineering, National Institute for Technical Teachers Training

More information

Efficient Implementation of Combinational Circuits Using PTL

Efficient Implementation of Combinational Circuits Using PTL Efficient Implementation of Combinational Circuits Using PTL S. Kiruthiga, Assistant Professor, Sri Krishna College of Technology. S. Vaishnavi, Assistant Professor, Sri Krishna College of Technology.

More information

Low-Power High-Speed Double Gate 1-bit Full Adder Cell

Low-Power High-Speed Double Gate 1-bit Full Adder Cell INTL JOURNAL OF ELECTRONICS AND TELECOMMUNICATIONS, 2016, VOL. 62, NO. 4, PP. 329-334 Manuscript received October 15, 2016; revised November, 2016. DOI: 10.1515/eletel-2016-0045 Low-Power High-Speed Double

More information

II. Previous Work. III. New 8T Adder Design

II. Previous Work. III. New 8T Adder Design ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: High Performance Circuit Level Design For Multiplier Arun Kumar

More information

A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms *

A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms * A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms * Hanif Fatemi Shahin Nazarian Massoud Pedram EE-Systems Dept., University of Southern California Los Angeles, CA

More information

Sophisticated design of low power high speed full adder by using SR-CPL and Transmission Gate logic

Sophisticated design of low power high speed full adder by using SR-CPL and Transmission Gate logic Scientific Journal of Impact Factor(SJIF): 3.134 International Journal of Advance Engineering and Research Development Volume 2,Issue 3, March -2015 e-issn(o): 2348-4470 p-issn(p): 2348-6406 Sophisticated

More information

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF HIGH RELIABLE 6T SRAM CELL V.Vivekanand*, P.Aditya, P.Pavan Kumar * Electronics and Communication

More information

A Literature Survey on Low PDP Adder Circuits

A Literature Survey on Low PDP Adder Circuits Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 12, December 2015,

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

Low Power &High Speed Domino XOR Cell

Low Power &High Speed Domino XOR Cell Low Power &High Speed Domino XOR Cell Payal Soni Electronics and Communication Department, FET- Mody University Lakshmangarh, Dist.-Sikar, India E-mail: payal.soni3091@gmail.com Abstract Shiwani Singh

More information

Design of Low Power ALU using GDI Technique

Design of Low Power ALU using GDI Technique Design of Low Power ALU using GDI Technique D.Vigneshwari, K.Siva nagi reddy. Abstract The purpose of this paper is to design low power and area efficient ALU using GDI technique. Main sub modules of ALU

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application

A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application Rumi Rastogi and Sujata Pandey Amity University Uttar Pradesh, Noida, India Email: rumi.ravi@gmail.com,

More information

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES 41 In this chapter, performance characteristics of a two input NAND gate using existing subthreshold leakage

More information

Design and Analysis of Energy Efficient MOS Digital Library Cell Based on Charge Recovery Logic

Design and Analysis of Energy Efficient MOS Digital Library Cell Based on Charge Recovery Logic ISSN (e): 2250 3005 Volume, 08 Issue, 9 Sepetember 2018 International Journal of Computational Engineering Research (IJCER) Design and Analysis of Energy Efficient MOS Digital Library Cell Based on Charge

More information

Enhancement of Design Quality for an 8-bit ALU

Enhancement of Design Quality for an 8-bit ALU ABHIYANTRIKI An International Journal of Engineering & Technology (A Peer Reviewed & Indexed Journal) Vol. 3, No. 5 (May, 2016) http://www.aijet.in/ eissn: 2394-627X Enhancement of Design Quality for an

More information

Optimization of Digitally Controlled Oscillator with Low Power

Optimization of Digitally Controlled Oscillator with Low Power IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. I (Nov -Dec. 2015), PP 52-57 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Optimization of Digitally Controlled

More information

Analysis of Different Topologies of Inverter in 0.18µm CMOS Technology and its Comparision

Analysis of Different Topologies of Inverter in 0.18µm CMOS Technology and its Comparision Analysis of Different Topologies of Inverter in 0.18µm CMOS Technology and its Comparision Ashish Panchal (Senior Lecturer) Electronics & Instrumentation Engg. Department, Shri G.S.Institute of Technology

More information

A HIGH SPEED DYNAMIC RIPPLE CARRY ADDER

A HIGH SPEED DYNAMIC RIPPLE CARRY ADDER A HIGH SPEED DYNAMIC RIPPLE CARRY ADDER Y. Anil Kumar 1, M. Satyanarayana 2 1 Student, Department of ECE, MVGR College of Engineering, India. 2 Associate Professor, Department of ECE, MVGR College of Engineering,

More information

Implementation of 1-bit Full Adder using Gate Difuision Input (GDI) cell

Implementation of 1-bit Full Adder using Gate Difuision Input (GDI) cell International Journal of Electronics and Computer Science Engineering 333 Available Online at www.ijecse.org ISSN: 2277-1956 Implementation of 1-bit Full Adder using Gate Difuision Input (GDI) cell Arun

More information

IMPLEMANTATION OF D FLIP FLOP BASED ON DIFFERENT XOR /XNOR GATE DESIGNS

IMPLEMANTATION OF D FLIP FLOP BASED ON DIFFERENT XOR /XNOR GATE DESIGNS IMPLEMANTATION OF D FLIP FLOP BASED ON DIFFERENT XOR /XNOR GATE DESIGNS 1 MADHUR KULSHRESTHA, 2 VIPIN KUMAR GUPTA 1 M. Tech. Scholar, Department of Electronics & Communication Engineering, Suresh Gyan

More information

DESIGN OF ADIABATIC LOGIC BASED COMPARATOR FOR LOW POWER AND HIGH SPEED APPLICATIONS

DESIGN OF ADIABATIC LOGIC BASED COMPARATOR FOR LOW POWER AND HIGH SPEED APPLICATIONS DOI: 10.21917/ijme.2017.064 DESIGN OF ADIABATIC LOGIC FOR LOW POWER AND HIGH SPEED APPLICATIONS T.S. Arun Samuel 1, S. Darwin 2 and N. Arumugam 3 1,3 Department of Electronics and Communication Engineering,

More information

Design of an Energy Efficient, Low Power Dissipation Full Subtractor Using GDI Technique

Design of an Energy Efficient, Low Power Dissipation Full Subtractor Using GDI Technique Design of an Energy Efficient, Low Power Dissipation Full Subtractor Using GDI Technique ABSTRACT: Rammohan Kurugunta M.Tech Student, Department of ECE, Intel Engineering College, Anantapur, Andhra Pradesh,

More information

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits Circuits and Systems, 2015, 6, 60-69 Published Online March 2015 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.4236/cs.2015.63007 Design of Ultra-Low Power PMOS and NMOS for Nano Scale

More information

Implementation of Carry Select Adder using CMOS Full Adder

Implementation of Carry Select Adder using CMOS Full Adder Implementation of Carry Select Adder using CMOS Full Adder Smitashree.Mohapatra Assistant professor,ece department MVSR Engineering College Nadergul,Hyderabad-510501 R. VaibhavKumar PG Scholar, ECE department(es&vlsid)

More information

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Low Power VLSI Circuit Synthesis: Introduction and Course Outline Low Power VLSI Circuit Synthesis: Introduction and Course Outline Ajit Pal Professor Department of Computer Science and Engineering Indian Institute of Technology Kharagpur INDIA -721302 Agenda Why Low

More information

VLSI Designed Low Power Based DPDT Switch

VLSI Designed Low Power Based DPDT Switch International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 8, Number 1 (2015), pp. 81-86 International Research Publication House http://www.irphouse.com VLSI Designed Low

More information

ISSN Vol.04, Issue.05, May-2016, Pages:

ISSN Vol.04, Issue.05, May-2016, Pages: ISSN 2322-0929 Vol.04, Issue.05, May-2016, Pages:0332-0336 www.ijvdcs.org Full Subtractor Design of Energy Efficient, Low Power Dissipation Using GDI Technique M. CHAITANYA SRAVANTHI 1, G. RAJESH 2 1 PG

More information

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders 12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders Mr.Devanaboina Ramu, M.tech Dept. of Electronics and Communication Engineering Sri Vasavi Institute of

More information

Implementation of Full Adder using Cmos Logic

Implementation of Full Adder using Cmos Logic ISSN: 232-9653; IC Value: 45.98; SJ Impact Factor:6.887 Volume 5 Issue VIII, July 27- Available at www.ijraset.com Implementation of Full Adder using Cmos Logic Ravika Gupta Undergraduate Student, Dept

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R R 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

POWER EVALUATION OF ADIABATIC LOGIC CIRCUITS IN 45NM TECHNOLOGY

POWER EVALUATION OF ADIABATIC LOGIC CIRCUITS IN 45NM TECHNOLOGY INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) Proceedings of the International Conference on Emerging Trends in Engineering and Management (ICETEM14) ISSN 0976

More information

Low Power Adiabatic Logic Design

Low Power Adiabatic Logic Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 1, Ver. III (Jan.-Feb. 2017), PP 28-34 www.iosrjournals.org Low Power Adiabatic

More information

High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells

High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells Reza Faghih Mirzaee, Mohammad Hossein Moaiyeri, Keivan Navi Abstract In this paper we present two novel 1-bit full adder cells in dynamic logic

More information

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer G.Bramhini M.Tech (VLSI), Vidya Jyothi Institute of Technology. G.Ravi Kumar, M.Tech Assistant Professor, Vidya Jyothi Institute of

More information

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders B. Madhuri Dr.R. Prabhakar, M.Tech, Ph.D. bmadhusingh16@gmail.com rpr612@gmail.com M.Tech (VLSI&Embedded System Design) Vice

More information

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

A Case Study of Nanoscale FPGA Programmable Switches with Low Power A Case Study of Nanoscale FPGA Programmable Switches with Low Power V.Elamaran 1, Har Narayan Upadhyay 2 1 Assistant Professor, Department of ECE, School of EEE SASTRA University, Tamilnadu - 613401, India

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information

Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique

Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique Ch. Mohammad Arif 1, J. Syamuel John 2 M. Tech student, Department of Electronics Engineering, VR Siddhartha Engineering College,

More information

ECE 471/571 Combinatorial Circuits Lecture-7. Gurjeet Singh

ECE 471/571 Combinatorial Circuits Lecture-7. Gurjeet Singh ECE 471/571 Combinatorial Circuits Lecture-7 Gurjeet Singh Propagation Delay of CMOS Gates Propagation delay of Four input NAND Gate Disadvantages of Complementary CMOS Design Increase in complexity Larger

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012 Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Propagation Delay, Circuit Timing & Adder Design

Propagation Delay, Circuit Timing & Adder Design Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, MAY-2013 ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, MAY-2013 ISSN High-Speed 64-Bit Binary using Three Different Logic Styles Anjuli (Student Member IEEE), Satyajit Anand Abstract--High-speed 64-bit binary comparator using three different logic styles is proposed in

More information

Area and Power Efficient Pass Transistor Based (PTL) Full Adder Design

Area and Power Efficient Pass Transistor Based (PTL) Full Adder Design This work by IJARBEST is licensed under Creative Commons Attribution 4.0 International License. Available at https://www.ijarbest.com Area and Power Efficient Pass Transistor Based (PTL) Full Adder Design

More information

PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY

PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY International Journal of Microelectronics Engineering (IJME), Vol. 1, No.1, 215 PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY K.Dhanunjaya 1, Dr.MN.Giri Prasad 2, Dr.K.Padmaraju

More information

Keywords: VLSI; CMOS; Pass Transistor Logic (PTL); Gate Diffusion Input (GDI); Parellel In Parellel Out (PIPO); RAM. I.

Keywords: VLSI; CMOS; Pass Transistor Logic (PTL); Gate Diffusion Input (GDI); Parellel In Parellel Out (PIPO); RAM. I. Comparison and analysis of sequential circuits using different logic styles Shofia Ram 1, Rooha Razmid Ahamed 2 1 M. Tech. Student, Dept of ECE, Rajagiri School of Engg and Technology, Cochin, Kerala 2

More information

Gdi Technique Based Carry Look Ahead Adder Design

Gdi Technique Based Carry Look Ahead Adder Design IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 6, Ver. I (Nov - Dec. 2014), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Gdi Technique Based Carry Look Ahead Adder Design

More information

Figure.1. Schematic of 4-bit CLA JCHPS Special Issue 9: June Page 101

Figure.1. Schematic of 4-bit CLA JCHPS Special Issue 9: June Page 101 Delay Depreciation and Power efficient Carry Look Ahead Adder using CMOS T. Archana*, K. Arunkumar, A. Hema Malini Department of Electronics and Communication Engineering, Saveetha Engineering College,

More information

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 1 M.Tech Student, Amity School of Engineering & Technology, India 2 Assistant Professor, Amity School of Engineering

More information

Low power high speed hybrid CMOS Full Adder By using sub-micron technology

Low power high speed hybrid CMOS Full Adder By using sub-micron technology Low power high speed hybrid CMOS Full Adder By using sub-micron technology Ch.Naveen Kumar 1 Assistant professor,ece department GURUNANAK institutions technical campus Hyderabad-501506 A.V. Rameshwar Rao

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2) 1 CHAPTER 3: IMPLEMENTATION TECHNOLOGY (PART 2) Whatwillwelearninthischapter? we learn in this 2 How transistors operate and form simple switches CMOS logic gates IC technology FPGAs and other PLDs Basic

More information

AND 5GHz ABSTRACTT. easily detected. the transition. for half duration. cycle highh voltage is send. this. data bit frame. the the. data.

AND 5GHz ABSTRACTT. easily detected. the transition. for half duration. cycle highh voltage is send. this. data bit frame. the the. data. COMPARISON OF DIFFERENT DESIGNS OF MANCHES STER ENCODER DESIGNED D WITH CMOS INVERTERS USING 32NM UMC CMOS TECHNOLOGY AT 1GHz, 2.5GHz AND 5GHz M. Tech student, Department of ECE, Gyan Vihar School of Engineering

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R RW 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop Shaik. Yezazul Nishath School Of Electronics Engineering (SENSE) VIT University Chennai, India Abstract This paper outlines

More information

LOW POWER CMOS CELL STRUCTURES BASED ON ADIABATIC SWITCHING

LOW POWER CMOS CELL STRUCTURES BASED ON ADIABATIC SWITCHING LOW POWER CMOS CELL STRUCTURES BASED ON ADIABATIC SWITCHING Uday Kumar Rajak Electronics & Telecommunication Dept. Columbia Institute of Engineering and Technology,Raipur (India) ABSTRACT The dynamic power

More information

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

ECE 471/571 The CMOS Inverter Lecture-6. Gurjeet Singh

ECE 471/571 The CMOS Inverter Lecture-6. Gurjeet Singh ECE 471/571 The CMOS Inverter Lecture-6 Gurjeet Singh NMOS-to-PMOS ratio,pmos are made β times larger than NMOS Sizing Inverters for Performance Conclusions: Intrinsic delay tp0 is independent of sizing

More information

Analysis of GDI Technique for Digital Circuit Design

Analysis of GDI Technique for Digital Circuit Design Analysis of GDI Technique for Digital Circuit Design Laxmi Kumre Assistant Professor Electronics & Comm.Engg. Deptt. MANIT, Bhopal (M.P.), INDIA Ajay Somkuwar Professor Electronics & Comm.Engg. Deptt.

More information

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection NMOS Transistors in Series/Parallel Connection Topic 6 CMOS Static & Dynamic Logic Gates Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Transistors can be thought

More information

IMPLEMENTATION OF ADIABATIC DYNAMIC LOGIC IN BIT FULL ADDER

IMPLEMENTATION OF ADIABATIC DYNAMIC LOGIC IN BIT FULL ADDER Technology and Innovation for Sustainable Development Conference (TISD2006) Faculty of Engineering, Khon Kaen University, Thailand 25-26 January 2006 IMPLEMENTATION OF ADIABATIC DYNAMIC LOGIC IN BIT FULL

More information

2-BIT MAGNITUDE COMPARATOR DESIGN USING DIFFERENT LOGIC STYLES

2-BIT MAGNITUDE COMPARATOR DESIGN USING DIFFERENT LOGIC STYLES 2-BIT MAGNITUDE COMPARATOR DESIGN USING DIFFERENT LOGIC STYLES 1 Shruthi B, Assistant professor, GSSSIETW, Mysuru 2 Ashwini K R Assistant professor, GSSSIETW, Mysuru ABSTRACT: 2-bit magnitude comparator

More information

Design of low-power, high performance flip-flops

Design of low-power, high performance flip-flops Int. Journal of Applied Sciences and Engineering Research, Vol. 3, Issue 4, 2014 www.ijaser.com 2014 by the authors Licensee IJASER- Under Creative Commons License 3.0 editorial@ijaser.com Research article

More information

Implementation of Power Clock Generation Method for Pass-Transistor Adiabatic Logic 4:1 MUX

Implementation of Power Clock Generation Method for Pass-Transistor Adiabatic Logic 4:1 MUX Implementation of Power Clock Generation Method for Pass-Transistor Adiabatic Logic 4:1 MUX Prafull Shripal Kumbhar Electronics & Telecommunication Department Dr. J. J. Magdum College of Engineering, Jaysingpur

More information

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE MS. V.NIVEDITHA 1,D.MARUTHI KUMAR 2 1 PG Scholar in M.Tech, 2 Assistant Professor, Dept. of E.C.E,Srinivasa Ramanujan Institute

More information

Design & Simulation of Half Adder Circuit Using AVL technique based on CMOS Technology

Design & Simulation of Half Adder Circuit Using AVL technique based on CMOS Technology Design & Simulation of Half Adder Circuit Using AVL technique based on CMOS Technology Mateshwar Singh1, Surya Deo Choudhary 2, Ashutosh kr.singh3 1M.Tech Student, Dept. of Electronics & Communication,

More information

1P6M 0.18-µm Low Power CMOS Ring Oscillator for Radio Frequency Applications

1P6M 0.18-µm Low Power CMOS Ring Oscillator for Radio Frequency Applications 1P6M 0.18-µm Low Power CMOS Ring Oscillator for Radio Frequency Applications Ashish Raman and R. K. Sarin Abstract The monograph analysis a low power voltage controlled ring oscillator, implement using

More information

PARAMETRIC ANALYSIS OF DFAL BASED DYNAMIC COMPARATOR

PARAMETRIC ANALYSIS OF DFAL BASED DYNAMIC COMPARATOR HEENA PARVEEN AND VISHAL MOYAL: PARAMETRIC ANALYSIS OF DFAL BASED DYNAMIC COMPARATOR DOI: 1.21917/ijme.217.62 PARAMETRIC ANALYSIS OF DFAL BASED DYNAMIC COMPARATOR Heena Parveen and Vishal Moyal Department

More information

EE 434 ASIC & Digital Systems

EE 434 ASIC & Digital Systems EE 434 ASIC & Digital Systems Dae Hyun Kim EECS Washington State University Spring 2017 Course Website http://eecs.wsu.edu/~ee434 Themes Study how to design, analyze, and test a complex applicationspecific

More information

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN Mr. Sunil Jadhav 1, Prof. Sachin Borse 2 1 Student (M.E. Digital Signal Processing), Late G. N. Sapkal College of Engineering, Nashik,jsunile@gmail.com 2 Professor

More information

DesignCon Design of a Low-Power Differential Repeater Using Low Voltage and Charge Recycling. Brock J. LaMeres, University of Colorado

DesignCon Design of a Low-Power Differential Repeater Using Low Voltage and Charge Recycling. Brock J. LaMeres, University of Colorado DesignCon 2005 Design of a Low-Power Differential Repeater Using Low Voltage and Charge Recycling Brock J. LaMeres, University of Colorado Sunil P. Khatri, Texas A&M University Abstract Advances in System-on-Chip

More information

An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band of Applications

An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band of Applications IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 10 April 2016 ISSN (online): 2349-784X An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band

More information

A REVIEW PAPER ON HIGH PERFORMANCE 1- BIT FULL ADDERS DESIGN AT 90NM TECHNOLOGY

A REVIEW PAPER ON HIGH PERFORMANCE 1- BIT FULL ADDERS DESIGN AT 90NM TECHNOLOGY I J C T A, 9(11) 2016, pp. 4947-4956 International Science Press A REVIEW PAPER ON HIGH PERFORMANCE 1- BIT FULL ADDERS DESIGN AT 90NM TECHNOLOGY N. Lokabharath Reddy *, Mohinder Bassi **2 and Shekhar Verma

More information

Improved DFT for Testing Power Switches

Improved DFT for Testing Power Switches Improved DFT for Testing Power Switches Saqib Khursheed, Sheng Yang, Bashir M. Al-Hashimi, Xiaoyu Huang School of Electronics and Computer Science University of Southampton, UK. Email: {ssk, sy8r, bmah,

More information

Design and Implementation of Complex Multiplier Using Compressors

Design and Implementation of Complex Multiplier Using Compressors Design and Implementation of Complex Multiplier Using Compressors Abstract: In this paper, a low-power high speed Complex Multiplier using compressor circuit is proposed for fast digital arithmetic integrated

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages RESEARCH ARTICLE OPEN ACCESS Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages A. Suvir Vikram *, Mrs. K. Srilakshmi ** And Mrs. Y. Syamala *** * M.Tech,

More information

Design of Low power multiplexers using different Logics

Design of Low power multiplexers using different Logics Design of Low power multiplexers using different Logics Anshul Jain, Abul Hassan Department of Electronics and Communication Engineering SRCEM, Banmore, MP, India anshuljaineng@yahoomail.co.in 1. Abstract:

More information

OPTIMIZATION OF LOW POWER ADDER CELLS USING 180NM TG TECHNOLOGY

OPTIMIZATION OF LOW POWER ADDER CELLS USING 180NM TG TECHNOLOGY OPTIMIZATION OF LOW POWER ADDER CELLS USING 180NM TG TECHNOLOGY Nitasha Jaura 1, Balraj Singh Sidhu 2, Neeraj Gill 3 1, 2, 3 Department Of Electronics and Communication Engineering, Giani Zail Singh Punjab

More information

Implementation of Low Power High Speed Full Adder Using GDI Mux

Implementation of Low Power High Speed Full Adder Using GDI Mux Implementation of Low Power High Speed Full Adder Using GDI Mux Thanuja Kummuru M.Tech Student Department of ECE Audisankara College of Engineering and Technology. Abstract The binary adder is the critical

More information

A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design

A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design 1 B. Dilli Kumar, 2 A. Chandra Babu, 2 V. Prasad 1 Assistant Professor, Dept. of ECE, Yoganada Institute of Technology & Science,

More information

EE 330 Lecture 42. Other Logic Styles Digital Building Blocks

EE 330 Lecture 42. Other Logic Styles Digital Building Blocks EE 330 Lecture 42 Other Logic Styles Digital Building Blocks Logic Styles Static CMOS Complex Logic Gates Pass Transistor Logic (PTL) Pseudo NMOS Dynamic Logic Domino Zipper Static CMOS Widely used Attractive

More information