IMPLEMANTATION OF D FLIP FLOP BASED ON DIFFERENT XOR /XNOR GATE DESIGNS

Size: px
Start display at page:

Download "IMPLEMANTATION OF D FLIP FLOP BASED ON DIFFERENT XOR /XNOR GATE DESIGNS"

Transcription

1 IMPLEMANTATION OF D FLIP FLOP BASED ON DIFFERENT XOR /XNOR GATE DESIGNS 1 MADHUR KULSHRESTHA, 2 VIPIN KUMAR GUPTA 1 M. Tech. Scholar, Department of Electronics & Communication Engineering, Suresh Gyan Vihar University, Jaipur 2 Assistant Professor, Department of Electronics & Communication Engineering, Suresh Gyan Vihar University, Jaipur ABSTRACT Rapid growth in electronics market in last three decades has increased pressure on the VLSI industry to continuously upgrade its technology, in terms of chip design systems, very high integration density and increasing operating frequencies beyond few GHz, along with their benefits some critical concerns has arisen i.e. increase in power consumption of the devices and another to reduce it as much as possible. Due to the drastically changing market, increasing demand and great popularity of portable electronic devices, designers are optimizing their system designs in order to get greater speeds, higher packing density, and good battery life with more reliability. Power consumption is the figure of merit in electronic goods. So power is the primary concern any designer to save it while system designing. The circuits, XOR XNOR are the basic building blocks in many electronic circuits such as combinational circuits (Full adder, multipliers, parity checkers, comparators, error detecting codes, phase detectors circuit in PLL, code converters) etc. Since the permance of the individual circuit i.e. XOR XNOR directly affects the complex logic circuits. Theree, very careful designing and analysis has to be done using XOR XNOR circuits. The XOR XNOR circuits should give less power consumption, less power dissipation, complete output voltage swing and optimized delay in propagation or in critical path. In addition to that, lesser number of transistors has to be used designing purpose of XOR XNOR circuits and simultaneous generation of two non skewed outputs. The amazing improvement in microelectronics industry have taken place in last 30 years and it is steadily moving and upgrading ward to achieve a prime position in the system design of modern electronics. It has penetrated its roots all over the world so largely in such a short period of time because of its continuous advancements in the permance of the device while being pocket friendly to each level of customer. The entire some efts of the designers have enabled them to make devices having the desired features like fewer delays, less power consumption and high packing density. The continuation of Moore s law has been proved due to increased clock speeds and small features size. The Moore s law states that the transistor density on integrated circuits doubles every 18 months. Different designs of XOR/XNOR gate has been designed using different components like Transmission Gates, NMOS switches, Pass Transistors & GDI (Gate Diffusion Input) cell that can be operated at higher frequencies. All designs have been designed using 32nm UMC CMOS technology and compared the supply voltage range from 0.9V to 1.5V. These designs are simulated in HSPICE tool the successful function. Best optimized design of XOR/XNOR gate is found out. It is being widely used in a high speed microprocessors like adders, comparators etc perming different arithmetic operations. Improved features of XOR/XNOR circuit increases the permance of full adder and other circuits. 1. INTRODUCTION Rapid growth in electronics market in last three decades has increased pressure on the VLSI industry to continuously upgrade its technology, in terms of chip design systems, very high integration density and increasing operating frequencies beyond few GHz, along with their benefits some critical concerns has arisen i.e. increase in power consumption of the devices and another to reduce it as much as possible. Due to the drastically changing market, increasing demand and great popularity of portable electronic devices, designers are optimizing their system designs in order to get greater speeds, higher packing density, and good battery life with more reliability. Power consumption is the figure of merit in electronic goods. So power is the primary concern any designer to save it while system designing. The circuits, XOR XNOR are the basic building blocks in many electronic circuits such as combinational circuits (Full adder, multipliers, parity checkers, comparators, error detecting codes, phase detectors circuit in PLL, code converters) etc. Since the permance of the individual circuit i.e. XOR XNOR directly affects the complex logic circuits. Theree, very careful designing and analysis has to be done using XOR XNOR circuits. The XOR XNOR circuits should give less power consumption, less power dissipation, complete output voltage swing and optimized delay in propagation or in critical path. In addition to that, lesser number of transistors has to be used designing purpose of XOR XNOR circuits and simultaneous generation of two nonskewed outputs. The amazing improvement in microelectronics industry have taken place in last 30 years and it is steadily moving and upgrading ward to achieve a prime position in the system design of modern electronics. It has penetrated its roots all over the world so largely in such a short period of time because of its continuous advancements in the permance of the device while being pocket friendly to each level of customer. The entiresome efts of the designers have enabled them to make devices having the desired features like fewer INTERNATIONAL JOURNAL OF SCIENCE, ENGINEERING AND TECHNOLOGY- 39

2 delays, less power consumption and high packing density. The continuation of Moore s law has been proved due to increased clock speeds and small features size. The Moore s law states that The transistor density on integrated circuits doubles every 18 months. CMOS Technology has been successful due to the fact that it has compact designs and the scaling factor is much smaller the CMOS transistor when compared to other technologies. In VLSI i.e. (Very Large Scale Integration) circuits CMOS technology is dominating because of its improved permance at power consumption. involves modelling of circuit, then synthesis of the circuit and verification will be required at the each and every level of abstraction. Manual design techniques are not that much efficient and it cannot keep pace with every level of design cycle of product and hence the manual design techniques are replaced with automated design techniques. Figure 2: MOSFET Gate length versus year Figure 1: CPU clock speed versus year The ITRS (International Technology Roadmap Semiconductor) was established by an expert group serving various purposes in the field of its main objective has been the specification of design parameter values of device so as to cope up with device technology in near future. Secondly, it highlights those challenges that coming generations might face in the field of device scaling. The ITRS s main projection in the front end process areas and MOSFET has been greater permance with very low power logic. Memory IC s and digital logic IC s serve as the major element in the production of semiconductor devices. The main feature of the devices is high speed at low power consumption. There may be different approaches to reach the goal better permance logic such as computer s microprocessors etc. TCAD (Technology Computer Aided Design) is a powerful tool. TCAD helps a designer to reduce design cost, improve productivity of device design and to obtain better due to the increasing demand of customers price reduction of high quality electronic goods; computation power has become cheap compared to earlier times. Another reason price reduction is Moore s law and the resulting improvements seen in the latest designs at relatively low expenditure. The development of IT infrastructure has drastically transmed lives of people and society. development in inmation technology has brought up by the (EDA) electronic design automation technology which is a computer aided design of VLSI circuits. EDA has many distinct features like unique collection of methodologies, tools algorithms which helps in assisting and automating designs, then in verifying and conducting various tests of electronic systems a successful design. A lower level detailed logical and physical implementation of any electronic circuit can be obtained from a high level description of an electronic circuit using EDA. process Computer simulation is cheap and time saving fabrication process. It can be used study and predict the various electrical characteristics of a designed circuit of a device. The various steps of fabrication process like modelling and simulation can be predicted and studied so as to study the electronic as well as physical characteristics of the device that is designed. Some of its physical characteristics are thickness of oxide and doping distribution. As TCAD is providing numerous advantages to its users gradually it has been accepted as main tool modelling as well as simulation of device designs. To obtainaccurate computer simulations and service modelling designer must give proper input and use calibrated physical models. 1.1 Motive of the Research The main aim of this research work is to study, examine and note all the similarities and differences of various designs of D flip flop based on XOR/XNOR circuits that have appropriate transistor characteristics by applying it to UMC 32nm CMOS technology supply voltage ranging from 0.9V to 1.5V and also to find out the finest optimized design of D flip flop circuits that is providing with all good characteristics like less power consumption, higher duty cycle and less time delay. The optimized design must be such that it can be easily implemented in combinational circuits such as adders, subtractors, comparators, parity checkers, multipliers etc. 2. PROPOSED WORK Today CMOS XOR/XNOR gates and D flip flop are being frequently used in high speed microprocessor like adder, multiplier etc to perm various arithmetic operations. These gates are crucial part of the circuit and affects overall permance of the device. PMOS circuit (pull up network) is a complex network theree the CMOS circuits consisting of PMOS network consumes high power and gives less speed. Today the main concern issues designing any CMOS circuit are power, delay and silicon area of the chip. Transmission gate is also used in INTERNATIONAL JOURNAL OF SCIENCE, ENGINEERING AND TECHNOLOGY- 40

3 designing of D flip flop based on XOR/XNOR circuit because transmission gate has high switching speed, requires less power and gives less delay. Delay can be easily predicted by Spice simulations. These simulations help in minimizing chip area, power consumption, time delay and maximizing reliability. All internal capacitances are ignored. The maximum number of loading circuits that can be connected to the output of D flip flop based on XOR/XNOR circuit is called fan out of a circuit which helps to drive the output signal. 0. When AB=11, M3, M4, M7, M8 gets on, at this stage M3 & M4 gives output 0 and M7 & M8 gives output 1. circuit works as a D flip flop based on Table 2 shows the transistors characteristics at 32nm design I. Table2: Transistors Characteristics Design I In this chapter I have explained and designed five CMOS structures of D flip flop based on XOR/XNOR circuits using different design styles and compared the main factors all the designs. All circuits are designed technology. using 32nm UMC CMOS Many papers are published in this area but I have designed D flip flop using different XOR/XNOR gate circuits. Table 1 mentions the initial parameters that are taken to make the pulse A & B. Table 1: Initial Parameters V dd = 0.9V, L = 32nm Input Delay (sec) Rise Time (sec) Fall Time (sec) A & B 0.025F 0.3P 0.3P 2.1 D Flip Flop Design I Figure 4: Output Design I 2.2 D Flip Flop Design II Figure 3: D flip flop design I When AB=00, M1, M2, M5, M6 gets ON. At this stage M1 & M2 gives XOR output 0 and M5 & M6 gives XNOR output Vdd as 1. Since B (Clock) is off so Q will be previous value of output. When AB=01, M2, M3, M5, M8 gets ON. At this stage M2 gives XOR output B as 1 and M8 gives XNOR output A as 0. Since B (Clock) is high so Q will be value of A. When AB=10, M1, M4, M6, M7 gets on, at this stage M1 gives XOR outpu A as 1 and M7 gives XNOR output B as Figure 5: D flip flop design II INTERNATIONAL JOURNAL OF SCIENCE, ENGINEERING AND TECHNOLOGY- 41

4 When AB=00, M1, M3, M5 gets ON. At this stage I1 produces output B and I2 produces outpu A. Output of I2 switches M9 & M10 on and output of M10 switches M7 on making XOR output 0 and XNOR output Vdd as 1. Since B (Clock) is off so Q will be previous value of output. When AB= 01, M1, M4, M6 gets ON. At this stage I1 & I2 produces output B and I3 produces output A making XNOR output 0. Output of I3 switches M8making XOR output 1. Since B (Clock) is high so Q will be value of A. When AB=10, M2, M3, M5 gets on, at this stage I1 & I3 produces outpu 0 making XNOR output 0. I2 produces output A making XOR output 1. When AB=11, M2, M4, M6 gets ON. At this stage I1& I2 produces output 0 making XOR output 0 and I3 produces output A. Output of I2 switches M9 & M10 on and output of M10 switches M7 on making XNOR output Vdd as D Flip Flop Design III circuit works as a D flip flop based on Figure 7: D flip flop design III Table 3 shows the transistors characteristics at 32nm design II. Table 3: Transistors Characteristics Design II When AB=00, M1, M3, M9 gets ON. At this stage M3 produces output B (0) as an XOR output& M1 produces output 1 and provides it to M9 making XNOR outpu 1. Since B (Clock) is off so Q will be previous value of output. When AB=01, M2, M3, M9 gets ON. At this stage M3 produces outpu B (1) as XOR output &M2 produces output 0 and provides it to M9 making XNOR outpu 0. Since B (Clock) is high so Q will be the value of A. When AB= =10, M1, M4, M10 gets on, at this stage M1 produces output 1 whichh flows through M4 making XOR outpu 1. XOR output switches M8 on and produces output 0 & M10 also produces output 0 as XNOR output. When AB=11, M2, M4, M10 gets ON. At this stage M2 produces output 0 which flows through M4 and makes XOR output 0. XOR output switches M5 on and produces output 1 & M10 also produces outputt 1 making XNOR output 1. circuit works as a D flip flop based on Table 4 shows the transistors characteristics at 32nm design III. Table 4: Transistors Characteristics Design IIII Figure 6: Outpu Design II INTERNATIONAL JOURNAL OF SCIENCE, ENGINEERING AND TECHNOLOGY- 42

5 Figure 8 shows the output wavem design III Table5: Transistors Characteristics Design IV Figure 10 showss the output wavem design IV Figure 8: Outpu Design III 2.4 D Flip Flop Design IV Figure 9: D flip flop design IV When AB=00, M1, M2, M5 gets ON. At this stage M1 produces outputt 1 which transfers M5 & M10 in ON condition and produces output B(0) as XOR output. XOR output is passed through inverter making XNOR outpu 1. Since B (Clock) is off so Q will be previous value of output. When AB=01, M1, M7, M5 gets ON. At this stage M1 produces outputt 1 which transfers M5 & M10 in ON condition and produces output B(1) as XOR output. XOR output is passed through inverter making XNOR outpu 0. Since B (Clock) is high so Q will be the value of A. When AB= 10, M2, M6, M9 gets on, at this stage M6 produces output 0 which transfers M4 in ON condition. M2 produces output 1 which flows through M4 & M9 making XOR output 1. XOR outputt is passed through inverter making XNOR output 0. When AB=11, M6, M7, M9 gets on, at this stage M6 produces output 0 whichh transfers M4 in ON condition. M7 produces output 0 which flows through M4 & M9 making XOR output 0. XOR output is passed through inverter making XNOR output 1. Figure 10: Output Design IV 2.5 D Flip Flop Design V circuit works as a D flip flop based on Table 5 shows the transistors characteristics at 32nm design IV. Figure 11: D flip flop design V INTERNATIONAL JOURNAL OF SCIENCE, ENGINEERING AND TECHNOLOGY- 43

6 When AB=00, M1, M3, M5 gets ON. At this stage M1 gives output B which flows upto XOR node making XOR output 0. M3gives output 1 & XOR output switches M8 on which makes XNOR output 1. Since B (Clock) is off so Q will be previous value of output. When AB=01, M1, M3, M6 gets ON. At this stage M1 gives XOR output B as 1.M3 gives output 1 but due to M6 XNOR output becomes 0. Since B (Clock) is high so Q will be the value of A. When AB=10, M2, M4, M5 gets on, at this stage M5 gives XOR output A as 1. M4 gives output B(0) as XNOR output. When AB=11, M2, M4, M6 gets on, at this stage M2 gives output 0 as XOR output which switches M8 on and M6 also gives output 1 as XNOR output. 3. RESULTS & SIMULATION All designs are simulated using 32nm UMC CMOS technology different values of Vdd. Comparison table each design is mentioned below: Table 7: Comparison table design I different Vdd circuit works as a D flip flop based on Table6 shows the transistors characteristics at 32nm design V. Table 8: Comparison table design II different Vdd Table 6: Transistors Characteristics Design V Table 9: Comparison table design III different Vdd Table 10: Comparison table design IV different Vdd Figure 12 shows the output wavem design V Table 11: Comparison table design V different Vdd Figure 12: Output Design V INTERNATIONAL JOURNAL OF SCIENCE, ENGINEERING AND TECHNOLOGY- 44

7 These simulation results show that as we increase the power supply (Vdd), propagation time delay and power delay product increases. Through these tables I have shown the different parameters of each D flip flop design based on XOR/XNOR circuit. 4. CONCLUSIONS & FUTURE SCOPE In this thesis, I have designed different circuits of D flip flop based on XOR/XNOR gate using CMOS inverters, NMOS digital switches, pass transistors and GDI cell. Each circuit structure has been designed using 32nm UMC CMOS technology. All the designs have been simulated in HSPICE and the results are checked the voltage range from 0.9V to 1.5V and 25 degree centigrade. Design I is considered to be the best optimized design of D flip flop based on XOR/XNOR gate with average power = 0.38µW and power delay product (PDP) = 0.078fJ at Vdd = 0.9V. I have studied the comparison between different designs of D flip flop based on XOR/XNOR gate circuits in detail. Thus high speed microprocessors this best optimized design can be used to perm various arithmetic operations. In future D flip flop based on XOR/XNOR circuits can be designed more efficiently. As technology is getting advanced, power consumption, delay time, chip area are the main concern issues which we have to improve, theree these circuits can be designed to solve these issues more efficiently to reduce the total cost of the system by optimizing transistors sizes. REFERENCES 5. Frank Schwierz, Hei Wong, Juin J. Liou, Nanometer CMOS, Pan Stand Publishing, Behzad Razavi, Design of Analog CMOS Integrated Circuits, Wayne Wolf, Modern VLSI Design, Pearson Education 2 nd Edition, HSPICE User's Manual Meta Software, Hamed Sadeghi, Hamed Mohammadi Jirandeh, Ali Zakeri, Improvement in Sub circuit of Full Adder Cell (XOR and XNOR) by GDI and Fin FET Structure, International Journal of Scientific & Engineering Research, Volume 5, Issue 5, May, Nabihah Ahmad, Rezaul Hasan, A New Design of XOR XNOR gates low power application, International Conference on Electronic Devices, Systems & Applications, BIOGRAPHY Madhur Kulshrestha, is a final year student of M. Tech(Dual Degree) EC+VLSI from Gyan Vihar School of Engineering and Technology Jaipur, Rajasthan, India. 1. J.M. Rabaey, A. Chandrakasan, B. Nikolic, Digital integrated circuits: a design perspective, Prentice Hall, Sung Mo Kang, Yusuf Leblebici, CMOS Digital Integrated Circuits: Analysis and Design, Neil H.E. Weste, David F. Harris, CmosVlsi Design: A Circuits and Systems Perspective, K. Roy and S. Prasad, Low Power CMOS VLSI Circuit Design. Vipin Kumar Gupta received the B.E. Degree in Electronics and Communication from Sri Balaji College of Engineering and Technology Jaipur in 2008 and M.Tech in VLSI Design from MNIT Jaipur in 2011.Currently Assistant Professor at Suresh Gyan Vihar University in EC Deparment. INTERNATIONAL JOURNAL OF SCIENCE, ENGINEERING AND TECHNOLOGY- 45

Leakage Power Reduction in 5-Bit Full Adder using Keeper & Footer Transistor

Leakage Power Reduction in 5-Bit Full Adder using Keeper & Footer Transistor Leakage Power Reduction in 5-Bit Full Adder using Keeper & Footer Transistor Narendra Yadav 1, Vipin Kumar Gupta 2 1 Department of Electronics and Communication, Gyan Vihar University, Jaipur, Rajasthan,

More information

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System 1 Raj Kumar Mistri, 2 Rahul Ranjan, 1,2 Assistant Professor, RTC Institute of Technology, Anandi, Ranchi, Jharkhand,

More information

AND 5GHz ABSTRACTT. easily detected. the transition. for half duration. cycle highh voltage is send. this. data bit frame. the the. data.

AND 5GHz ABSTRACTT. easily detected. the transition. for half duration. cycle highh voltage is send. this. data bit frame. the the. data. COMPARISON OF DIFFERENT DESIGNS OF MANCHES STER ENCODER DESIGNED D WITH CMOS INVERTERS USING 32NM UMC CMOS TECHNOLOGY AT 1GHz, 2.5GHz AND 5GHz M. Tech student, Department of ECE, Gyan Vihar School of Engineering

More information

A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION

A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION Mr. Snehal Kumbhalkar 1, Mr. Sanjay Tembhurne 2 Department of Electronics and Communication Engineering GHRAET, Nagpur, Maharashtra,

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

Comparative analysis of different designs of Manchester Encoder based on 45nm UMC CMOS Technology

Comparative analysis of different designs of Manchester Encoder based on 45nm UMC CMOS Technology Comparative analysis of different designs of Manchester Encoder based on 45nm UMC CMOS Technology Sandeep Dasondhi 1, Deepak Vyas 2, Sunil Sharma 3 1 P. G. Scholar, Electronics & Comm., Pacific University,

More information

Design and Performance Analysis of High Speed Low Power 1 bit Full Adder

Design and Performance Analysis of High Speed Low Power 1 bit Full Adder Design and Performance Analysis of High Speed Low Power 1 bit Full Adder Gauri Chopra 1, Sweta Snehi 2 PG student [RNA], Dept. of MAE, IGDTUW, New Delhi, India 1 PG Student [VLSI], Dept. of ECE, IGDTUW,

More information

ISSN:

ISSN: 343 Comparison of different design techniques of XOR & AND gate using EDA simulation tool RAZIA SULTANA 1, * JAGANNATH SAMANTA 1 M.TECH-STUDENT, ECE, Haldia Institute of Technology, Haldia, INDIA ECE,

More information

Low Power &High Speed Domino XOR Cell

Low Power &High Speed Domino XOR Cell Low Power &High Speed Domino XOR Cell Payal Soni Electronics and Communication Department, FET- Mody University Lakshmangarh, Dist.-Sikar, India E-mail: payal.soni3091@gmail.com Abstract Shiwani Singh

More information

Design & Simulation of Half Adder Circuit Using AVL technique based on CMOS Technology

Design & Simulation of Half Adder Circuit Using AVL technique based on CMOS Technology Design & Simulation of Half Adder Circuit Using AVL technique based on CMOS Technology Mateshwar Singh1, Surya Deo Choudhary 2, Ashutosh kr.singh3 1M.Tech Student, Dept. of Electronics & Communication,

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July ISSN

International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July ISSN International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July-2015 636 Low Power Consumption exemplified using XOR Gate via different logic styles Harshita Mittal, Shubham Budhiraja

More information

II. Previous Work. III. New 8T Adder Design

II. Previous Work. III. New 8T Adder Design ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: High Performance Circuit Level Design For Multiplier Arun Kumar

More information

ISSN Vol.04, Issue.05, May-2016, Pages:

ISSN Vol.04, Issue.05, May-2016, Pages: ISSN 2322-0929 Vol.04, Issue.05, May-2016, Pages:0332-0336 www.ijvdcs.org Full Subtractor Design of Energy Efficient, Low Power Dissipation Using GDI Technique M. CHAITANYA SRAVANTHI 1, G. RAJESH 2 1 PG

More information

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Dr. Saravanan Savadipalayam Venkatachalam Principal and Professor, Department of Mechanical

More information

Design of an Energy Efficient, Low Power Dissipation Full Subtractor Using GDI Technique

Design of an Energy Efficient, Low Power Dissipation Full Subtractor Using GDI Technique Design of an Energy Efficient, Low Power Dissipation Full Subtractor Using GDI Technique ABSTRACT: Rammohan Kurugunta M.Tech Student, Department of ECE, Intel Engineering College, Anantapur, Andhra Pradesh,

More information

Technology, Jabalpur, India 1 2

Technology, Jabalpur, India 1 2 1181 LAYOUT DESIGNING AND OPTIMIZATION TECHNIQUES USED FOR DIFFERENT FULL ADDER TOPOLOGIES ARPAN SINGH RAJPUT 1, RAJESH PARASHAR 2 1 M.Tech. Scholar, 2 Assistant professor, Department of Electronics and

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

INTERNATIONAL JOURNAL OF COMPUTER ENGINEERING & TECHNOLOGY (IJCET)

INTERNATIONAL JOURNAL OF COMPUTER ENGINEERING & TECHNOLOGY (IJCET) INTERNATIONAL JOURNAL OF COMPUTER ENGINEERING & TECHNOLOGY (IJCET) International Journal of Computer Engineering and Technology (IJCET), ISSN 0976 6367(Print), ISSN 0976 6367(Print) ISSN 0976 6375(Online)

More information

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates Anil Kumar 1 Kuldeep Singh 2 Student Assistant Professor Department of Electronics and Communication Engineering Guru Jambheshwar

More information

Domino CMOS Implementation of Power Optimized and High Performance CLA adder

Domino CMOS Implementation of Power Optimized and High Performance CLA adder Domino CMOS Implementation of Power Optimized and High Performance CLA adder Kistipati Karthik Reddy 1, Jeeru Dinesh Reddy 2 1 PG Student, BMS College of Engineering, Bull temple Road, Bengaluru, India

More information

Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier

Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier LETTER IEICE Electronics Express, Vol.11, No.6, 1 7 Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier S. Vijayakumar 1a) and Reeba Korah 2b) 1

More information

Design and Implementation of Low Power Dynamic Thermometer Encoder For Flash ADC

Design and Implementation of Low Power Dynamic Thermometer Encoder For Flash ADC Design and Implementation of Low Power Dynamic Thermometer Encoder For Flash ADC Abstract: In the design of a low power Flash ADC, a major challenge lies in designing a high speed thermometer code to binary

More information

A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design

A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design 1 B. Dilli Kumar, 2 A. Chandra Babu, 2 V. Prasad 1 Assistant Professor, Dept. of ECE, Yoganada Institute of Technology & Science,

More information

Implementation of Carry Select Adder using CMOS Full Adder

Implementation of Carry Select Adder using CMOS Full Adder Implementation of Carry Select Adder using CMOS Full Adder Smitashree.Mohapatra Assistant professor,ece department MVSR Engineering College Nadergul,Hyderabad-510501 R. VaibhavKumar PG Scholar, ECE department(es&vlsid)

More information

Implementation of Full Adder using Cmos Logic

Implementation of Full Adder using Cmos Logic ISSN: 232-9653; IC Value: 45.98; SJ Impact Factor:6.887 Volume 5 Issue VIII, July 27- Available at www.ijraset.com Implementation of Full Adder using Cmos Logic Ravika Gupta Undergraduate Student, Dept

More information

PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY

PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY International Journal of Microelectronics Engineering (IJME), Vol. 1, No.1, 215 PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY K.Dhanunjaya 1, Dr.MN.Giri Prasad 2, Dr.K.Padmaraju

More information

Low Power Adiabatic Logic Design

Low Power Adiabatic Logic Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 1, Ver. III (Jan.-Feb. 2017), PP 28-34 www.iosrjournals.org Low Power Adiabatic

More information

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE P a g e 80 Available online at http://arjournal.org APPLIED RESEARCH JOURNAL RESEARCH ARTICLE ISSN: 2423-4796 Applied Research Journal Vol. 3, Issue, 2, pp.80-86, February, 2017 COMPARATIVE STUDY ON SINGLE

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Mr. Y.Satish Kumar M.tech Student, Siddhartha Institute of Technology & Sciences. Mr. G.Srinivas, M.Tech Associate

More information

Sophisticated design of low power high speed full adder by using SR-CPL and Transmission Gate logic

Sophisticated design of low power high speed full adder by using SR-CPL and Transmission Gate logic Scientific Journal of Impact Factor(SJIF): 3.134 International Journal of Advance Engineering and Research Development Volume 2,Issue 3, March -2015 e-issn(o): 2348-4470 p-issn(p): 2348-6406 Sophisticated

More information

A SUBSTRATE BIASED FULL ADDER CIRCUIT

A SUBSTRATE BIASED FULL ADDER CIRCUIT International Journal on Intelligent Electronic System, Vol. 8 No.. July 4 9 A SUBSTRATE BIASED FULL ADDER CIRCUIT Abstract Saravanakumar C., Senthilmurugan S.,, Department of ECE, Valliammai Engineering

More information

Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique

Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique Ch. Mohammad Arif 1, J. Syamuel John 2 M. Tech student, Department of Electronics Engineering, VR Siddhartha Engineering College,

More information

Comparison of High Speed & Low Power Techniques GDI & McCMOS in Full Adder Design

Comparison of High Speed & Low Power Techniques GDI & McCMOS in Full Adder Design International Conference on Multidisciplinary Research & Practice P a g e 625 Comparison of High Speed & Low Power Techniques & in Full Adder Design Shikha Sharma 1, ECE, Geetanjali Institute of Technical

More information

Pass Transistor and CMOS Logic Configuration based De- Multiplexers

Pass Transistor and CMOS Logic Configuration based De- Multiplexers Abstract: Pass Transistor and CMOS Logic Configuration based De- Multiplexers 1 K Rama Krishna, 2 Madanna, 1 PG Scholar VLSI System Design, Geethanajali College of Engineering and Technology, 2 HOD Dept

More information

Implementation of High Performance Carry Save Adder Using Domino Logic

Implementation of High Performance Carry Save Adder Using Domino Logic Page 136 Implementation of High Performance Carry Save Adder Using Domino Logic T.Jayasimha 1, Daka Lakshmi 2, M.Gokula Lakshmi 3, S.Kiruthiga 4 and K.Kaviya 5 1 Assistant Professor, Department of ECE,

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER Ashwini Khadke 1, Paurnima Chaudhari 2, Mayur More 3, Prof. D.S. Patil 4 1Pursuing M.Tech, Dept. of Electronics and Engineering, NMU, Maharashtra,

More information

LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR

LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR B. Sathiyabama 1, Research Scholar, Sathyabama University, Chennai, India, mathumithasurya@gmail.com Abstract Dr. S. Malarkkan 2, Principal,

More information

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN Mr. Sunil Jadhav 1, Prof. Sachin Borse 2 1 Student (M.E. Digital Signal Processing), Late G. N. Sapkal College of Engineering, Nashik,jsunile@gmail.com 2 Professor

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

Design and Analyse Low Power Wallace Multiplier Using GDI Technique

Design and Analyse Low Power Wallace Multiplier Using GDI Technique IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 2, Ver. III (Mar.-Apr. 2017), PP 49-54 www.iosrjournals.org Design and Analyse

More information

Modelling Of Adders Using CMOS GDI For Vedic Multipliers

Modelling Of Adders Using CMOS GDI For Vedic Multipliers Modelling Of Adders Using CMOS GDI For Vedic Multipliers 1 C.Anuradha, 2 B.Govardhana, 3 Madanna, 1 PG Scholar, Dept Of VLSI System Design, Geetanjali College Of Engineering And Technology, 2 Assistant

More information

CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS

CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS 87 CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS 6.1 INTRODUCTION In this approach, the four types of full adders conventional, 16T, 14T and 10T have been analyzed in terms of

More information

Design Analysis of 1-bit Comparator using 45nm Technology

Design Analysis of 1-bit Comparator using 45nm Technology Design Analysis of 1-bit Comparator using 45nm Technology Pardeep Sharma 1, Rajesh Mehra 2 1,2 Department of Electronics and Communication Engineering, National Institute for Technical Teachers Training

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

4-BIT RCA FOR LOW POWER APPLICATIONS

4-BIT RCA FOR LOW POWER APPLICATIONS 4-BIT RCA FOR LOW POWER APPLICATIONS Riya Garg, Suman Nehra and B. P. Singh Department of Electronics and Communication, FET-MITS (Deemed University), Lakshmangarh, India ABSTRACT This paper presents low

More information

EMT 251 Introduction to IC Design

EMT 251 Introduction to IC Design EMT 251 Introduction to IC Design (Pengantar Rekabentuk Litar Terkamir) Semester II 2011/2012 Introduction to IC design and Transistor Fundamental Some Keywords! Very-large-scale-integration (VLSI) is

More information

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique International Journal of Electrical Engineering. ISSN 0974-2158 Volume 10, Number 3 (2017), pp. 323-335 International Research Publication House http://www.irphouse.com Minimizing the Sub Threshold Leakage

More information

The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator

The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator A. T. Fathima Thuslim Department of Electronics and communication Engineering St. Peters University, Avadi, Chennai, India Abstract: Single

More information

Implementation of Low Power High Speed Full Adder Using GDI Mux

Implementation of Low Power High Speed Full Adder Using GDI Mux Implementation of Low Power High Speed Full Adder Using GDI Mux Thanuja Kummuru M.Tech Student Department of ECE Audisankara College of Engineering and Technology. Abstract The binary adder is the critical

More information

POWER DELAY PRODUCT AND AREA REDUCTION OF FULL ADDERS USING SYSTEMATIC CELL DESIGN METHODOLOGY

POWER DELAY PRODUCT AND AREA REDUCTION OF FULL ADDERS USING SYSTEMATIC CELL DESIGN METHODOLOGY This work by IJARBEST is licensed under Creative Commons Attribution 4.0 International License. Available at https://www.ijarbest.com ISSN (ONLINE): 2395-695X POWER DELAY PRODUCT AND AREA REDUCTION OF

More information

Enhancement of Design Quality for an 8-bit ALU

Enhancement of Design Quality for an 8-bit ALU ABHIYANTRIKI An International Journal of Engineering & Technology (A Peer Reviewed & Indexed Journal) Vol. 3, No. 5 (May, 2016) http://www.aijet.in/ eissn: 2394-627X Enhancement of Design Quality for an

More information

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer G.Bramhini M.Tech (VLSI), Vidya Jyothi Institute of Technology. G.Ravi Kumar, M.Tech Assistant Professor, Vidya Jyothi Institute of

More information

Power Optimization for Ripple Carry Adder with Reduced Transistor Count

Power Optimization for Ripple Carry Adder with Reduced Transistor Count e-issn 2455 1392 Volume 2 Issue 5, May 2016 pp. 146-154 Scientific Journal Impact Factor : 3.468 http://www.ijcter.com Power Optimization for Ripple Carry Adder with Reduced Transistor Count Swarnalika

More information

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications International Journal of Research Studies in Computer Science and Engineering (IJRSCSE) Volume. 1, Issue 5, September 2014, PP 30-42 ISSN 2349-4840 (Print) & ISSN 2349-4859 (Online) www.arcjournals.org

More information

DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER

DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER Mr. M. Prakash Mr. S. Karthick Ms. C Suba PG Scholar, Department of ECE, BannariAmman Institute of Technology, Sathyamangalam, T.N, India 1, 3 Assistant

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

P. Sree latha, M. Arun kumar

P. Sree latha, M. Arun kumar International Journal of Scientific & Engineering Research Volume 9, Issue 3, March-2018 1 Performance Analysis of Comparator using Different Design Techniques P. Sree latha, M. Arun kumar Abstract - As

More information

LOW POWER CMOS CELL STRUCTURES BASED ON ADIABATIC SWITCHING

LOW POWER CMOS CELL STRUCTURES BASED ON ADIABATIC SWITCHING LOW POWER CMOS CELL STRUCTURES BASED ON ADIABATIC SWITCHING Uday Kumar Rajak Electronics & Telecommunication Dept. Columbia Institute of Engineering and Technology,Raipur (India) ABSTRACT The dynamic power

More information

A REVIEW PAPER ON HIGH PERFORMANCE 1- BIT FULL ADDERS DESIGN AT 90NM TECHNOLOGY

A REVIEW PAPER ON HIGH PERFORMANCE 1- BIT FULL ADDERS DESIGN AT 90NM TECHNOLOGY I J C T A, 9(11) 2016, pp. 4947-4956 International Science Press A REVIEW PAPER ON HIGH PERFORMANCE 1- BIT FULL ADDERS DESIGN AT 90NM TECHNOLOGY N. Lokabharath Reddy *, Mohinder Bassi **2 and Shekhar Verma

More information

Gdi Technique Based Carry Look Ahead Adder Design

Gdi Technique Based Carry Look Ahead Adder Design IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 6, Ver. I (Nov - Dec. 2014), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Gdi Technique Based Carry Look Ahead Adder Design

More information

Analysis of Different CMOS Full Adder Circuits Based on Various Parameters for Low Voltage VLSI Design

Analysis of Different CMOS Full Adder Circuits Based on Various Parameters for Low Voltage VLSI Design International Journal of Engineering and Technical Research (IJETR) Analysis of Different CMOS Full Adder Circuits Based on Various Parameters for Low Voltage VLSI Design Mr. Kapil Mangla, Mr. Shashank

More information

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Microelectronics and Solid State Electronics 2013, 2(2): 24-28 DOI: 10.5923/j.msse.20130202.02 Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Keerti Kumar. K

More information

Design of low threshold Full Adder cell using CNTFET

Design of low threshold Full Adder cell using CNTFET Design of low threshold Full Adder cell using CNTFET P Chandrashekar 1, R Karthik 1, O Koteswara Sai Krishna 1 and Ardhi Bhavana 1 1 Department of Electronics and Communication Engineering, MLR Institute

More information

Design and Implementation of Complex Multiplier Using Compressors

Design and Implementation of Complex Multiplier Using Compressors Design and Implementation of Complex Multiplier Using Compressors Abstract: In this paper, a low-power high speed Complex Multiplier using compressor circuit is proposed for fast digital arithmetic integrated

More information

A Novel Approach for High Speed and Low Power 4-Bit Multiplier

A Novel Approach for High Speed and Low Power 4-Bit Multiplier IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 3 (Nov. - Dec. 2012), PP 13-26 A Novel Approach for High Speed and Low Power 4-Bit Multiplier

More information

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop Indian Journal of Science and Technology, Vol 8(7), 622 628, April 2015 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 DOI: 10.17485/ijst/2015/v8i7/62847 A High Performance Asynchronous Counter using

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 5.71 International Journal of Advance Engineering and Research Development Volume 5, Issue 05, May -2018 e-issn (O): 2348-4470 p-issn (P): 2348-6406 COMPARATIVE

More information

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE RESEARCH ARTICLE OPEN ACCESS Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19)

More information

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 3 (Sep. Oct. 2013), PP 32-37 e-issn: 2319 4200, p-issn No. : 2319 4197 A Novel Dual Stack Sleep Technique for Reactivation Noise suppression

More information

Implementation and Performance Analysis of a Vedic Multiplier Using Tanner EDA Tool

Implementation and Performance Analysis of a Vedic Multiplier Using Tanner EDA Tool IJSRD - International Journal for Scientific Research & Development Vol. 1, Issue 5, 2013 ISSN (online): 2321-0613 Implementation and Performance Analysis of a Vedic Multiplier Using Tanner EDA Tool Dheeraj

More information

INTERNATIONAL JOURNAL OF ADVANCED RESEARCH IN ENGINEERING AND TECHNOLOGY (IJARET)

INTERNATIONAL JOURNAL OF ADVANCED RESEARCH IN ENGINEERING AND TECHNOLOGY (IJARET) INTERNATIONAL JOURNAL OF ADVANCED RESEARCH IN ENGINEERING AND TECHNOLOGY (IJARET) International Journal of Advanced Research in Engineering and Technology (IJARET), ISSN 0976 ISSN 0976-6480 (Print) ISSN

More information

Design of Low Power Low Voltage Circuit using CMOS Ternary Logic

Design of Low Power Low Voltage Circuit using CMOS Ternary Logic Design of Low Power Low Voltage Circuit using CMOS Ternary Logic C.S.NANDURKAR 1, K.N.KASAT 2 1 PG, Dept of EEE, PRMCEAM, Badnera, Amravati, MS, India 2 Assistant Professor, Dept of EXTC, PRMCEAM, Badnera,

More information

DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC

DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC 1 S.Varalakshmi, 2 M. Rajmohan, M.Tech, 3 P. Pandiaraj, M.Tech 1 M.Tech Department of ECE, 2, 3 Asst.Professor, Department of ECE, 1,

More information

Design and Analysis of Low-Power 11- Transistor Full Adder

Design and Analysis of Low-Power 11- Transistor Full Adder Design and Analysis of Low-Power 11- Transistor Full Adder Ravi Tiwari, Khemraj Deshmukh PG Student [VLSI, Dept. of ECE, Shri Shankaracharya Technical Campus(FET), Bhilai, Chattisgarh, India 1 Assistant

More information

A Literature Survey on Low PDP Adder Circuits

A Literature Survey on Low PDP Adder Circuits Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 12, December 2015,

More information

Integration of Optimized GDI Logic based NOR Gate and Half Adder into PASTA for Low Power & Low Area Applications

Integration of Optimized GDI Logic based NOR Gate and Half Adder into PASTA for Low Power & Low Area Applications Integration of Optimized GDI Logic based NOR Gate and Half Adder into PASTA for Low Power & Low Area Applications M. Sivakumar Research Scholar, ECE Department, SCSVMV University, Kanchipuram, India. Dr.

More information

Low power high speed hybrid CMOS Full Adder By using sub-micron technology

Low power high speed hybrid CMOS Full Adder By using sub-micron technology Low power high speed hybrid CMOS Full Adder By using sub-micron technology Ch.Naveen Kumar 1 Assistant professor,ece department GURUNANAK institutions technical campus Hyderabad-501506 A.V. Rameshwar Rao

More information

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF HIGH RELIABLE 6T SRAM CELL V.Vivekanand*, P.Aditya, P.Pavan Kumar * Electronics and Communication

More information

POWER EFFICIENT CARRY PROPAGATE ADDER

POWER EFFICIENT CARRY PROPAGATE ADDER POWER EFFICIENT CARRY PROPAGATE ADDER Laxmi Kumre 1, Ajay Somkuwar 2 and Ganga Agnihotri 3 1,2 Department of Electronics Engineering, MANIT, Bhopal, INDIA laxmikumre99@rediffmail.com asomkuwar@gmail.com

More information

2-BIT COMPARATOR WITH 8-TRANSISTOR 1-BIT FULL ADDER WITH CAPACITOR

2-BIT COMPARATOR WITH 8-TRANSISTOR 1-BIT FULL ADDER WITH CAPACITOR 2-BIT COMPARATOR WITH 8-TRANSISTOR 1-BIT FULL ADDER WITH CAPACITOR C.CHANDAN KUMAR M.Tech-VLSI, Department of ECE, Sree vidyanikethan Engineering college A.Rangampet, Tirupati, India chennachandu123@gmail.com

More information

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Performance Analysis of Novel Domino XNOR Gate in Sub 45nm CMOS Technology

Performance Analysis of Novel Domino XNOR Gate in Sub 45nm CMOS Technology Performance Analysis of Novel Domino Gate in Sub 45nm CMOS Technology AMIT KUMAR PANDEY, RAM AWADH MISHRA, RAJENDRA KUMAR NAGARIA Department of Electronics and Communication Engineering MNNIT Allahabad-211004

More information

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders 12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders Mr.Devanaboina Ramu, M.tech Dept. of Electronics and Communication Engineering Sri Vasavi Institute of

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

Implementation of Efficient 5:3 & 7:3 Compressors for High Speed and Low-Power Operations

Implementation of Efficient 5:3 & 7:3 Compressors for High Speed and Low-Power Operations Volume-7, Issue-3, May-June 2017 International Journal of Engineering and Management Research Page Number: 42-47 Implementation of Efficient 5:3 & 7:3 Compressors for High Speed and Low-Power Operations

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

Comparative Analysis of Array Multiplier Using Different Logic Styles

Comparative Analysis of Array Multiplier Using Different Logic Styles IOSR Journal of Engineering (IOSRJEN) e-issn: 2250-3021, p-issn: 2278-8719 Vol. 3, Issue 5 (May. 2013), V2 PP 16-22 Comparative Analysis of Array Multiplier Using Different Logic Styles M.B. Damle, Dr.

More information

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Gaddam Sushil Raj B.Tech, Vardhaman College of Engineering. ABSTRACT: Arithmetic logic unit (ALU) is an important part of microprocessor. In

More information

Low-Power High-Speed Double Gate 1-bit Full Adder Cell

Low-Power High-Speed Double Gate 1-bit Full Adder Cell INTL JOURNAL OF ELECTRONICS AND TELECOMMUNICATIONS, 2016, VOL. 62, NO. 4, PP. 329-334 Manuscript received October 15, 2016; revised November, 2016. DOI: 10.1515/eletel-2016-0045 Low-Power High-Speed Double

More information

Reduced Area & Improved Delay Module Design of 16- Bit Hamming Codec using HSPICE 22nm Technology based on GDI Technique

Reduced Area & Improved Delay Module Design of 16- Bit Hamming Codec using HSPICE 22nm Technology based on GDI Technique International Journal of Scientific and Research Publications, Volume 4, Issue 7, July 2014 1 Reduced Area & Improved Delay Module Design of 16- Bit Hamming Codec using HSPICE 22nm Technology based on

More information

MACGDI: Low Power MAC Based Filter Bank Using GDI Logic for Hearing Aid Applications

MACGDI: Low Power MAC Based Filter Bank Using GDI Logic for Hearing Aid Applications International Journal of Electronics and Electrical Engineering Vol. 5, No. 3, June 2017 MACGDI: Low MAC Based Filter Bank Using GDI Logic for Hearing Aid Applications N. Subbulakshmi Sri Ramakrishna Engineering

More information

Design and Analysis of Energy Efficient MOS Digital Library Cell Based on Charge Recovery Logic

Design and Analysis of Energy Efficient MOS Digital Library Cell Based on Charge Recovery Logic ISSN (e): 2250 3005 Volume, 08 Issue, 9 Sepetember 2018 International Journal of Computational Engineering Research (IJCER) Design and Analysis of Energy Efficient MOS Digital Library Cell Based on Charge

More information

Minimization of 34T Full Subtractor Parameters Using MTCMOS Technique

Minimization of 34T Full Subtractor Parameters Using MTCMOS Technique Minimization of 34T Full Subtractor Parameters Using MTCMOS Technique Mohammad Mudassir 1, Vishwas Mishra 2 and Amit Kumar 3 1 Research Scholar, M.Tech RF and Microwave, SITE, SVSU, Meerut (UP) INDIA,

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information