Efficient Implementation of Combinational Circuits Using PTL

Size: px
Start display at page:

Download "Efficient Implementation of Combinational Circuits Using PTL"

Transcription

1 Efficient Implementation of Combinational Circuits Using PTL S. Kiruthiga, Assistant Professor, Sri Krishna College of Technology. S. Vaishnavi, Assistant Professor, Sri Krishna College of Technology. S. Vimal Raj, Assistant Professor, Sri Krishna College of Technology. Abstract--- CMOS circuit based applications are widely used in markets now a days. CMOS logic implementation requires larger number of transistor compared to other logic families in spite of its several salient features. This research paper focuses on implementing basic combinational circuits like multiplexer and priority encoder using pass transistor logic(ptl). The key feature of PTL is reduction of transistor based on redundancies. The proposed Mux, priority encoder and 1 bit magnitude comparator compromises in transistor count, reduction in supply voltage consumption, less inter connects, Delay minimization and power reduction in comparison with CMOS implementation. Keywords--- MUX, Priority Encoder, 1 Bit Magnitude Comparator, CMOS, PTL. I. Introduction Now a days Microcontrollers, Microprocessors, Static Ram and several other digital circuits employ Complementary Metal Oxide Semiconductor(CMOS) Circuit design since those circuits are power efficient and having better switching activities[1]. Also CMOS Design has important features like high noise immunity and less static power consumption [2]. But the problem in CMOS Circuit design is that the design will contain lot of transistors which in turn increases the chip area. As today every device is turning to potable, it is important to have design which contains less number of transistors in a design which leads to lesser area. Pass Transistor logic gives a good solution in reducing the transistor count. It is achieved by eliminating the redundant transistor while making different logic gates and other logic operation. Pass transistors requires less number of transistor, runs faster compared to CMOS transistors. And most important thing is requires less power compared to the power drawn by CMOS logic. As it is understood, Power is the predominant factor in optimization of any design [3]. Many adders, mux, demux, encoder, decoder and other combinational circuits are designed using many technology with wide range of transistors used. In one of the existing methods adders are constructed using transmission gates where the number of gates forming the circuit is very high which leads to more area. When the area gets increased the compactness inside the IC which indicates maximum capacity of devices to be in built with in an chip gets reduced. Due to the increase in size of the chip, it cannot be brought into the market with the leading competitors all over the world in the present. Hence a proper tradeoff is required between area, power, delay, etc. The design of combinational circuits like 1-bit full adder, 1-bit hybrid adders has much complexity where delay plays a major role which affects the entire system performance compared to the existing method. Design of circuits using CMOS also generallyrequires more memory where a normal half adder requires more than more than 15 transistors which is not needed in the upcoming future era. Interconnect complexities also gets high when the number of transistors gets increased. Floor-planning and routing becomes difficult when it comes to backend design of the circuit. Earlier, in submicron technology, 8, 16, 32 bit adders are designed using CMOS and transmission gates. The performance comparison shows that transmission gates are better which has the design with less number of transistors and less delay[4]. Further implementations show that adder construction using CMOS, Transmission Gates and Complementary Pass Transistor Logic. It shows that again transmission gates are better than other two implementations. Various technologies have been used for the comparison of energy, delay and area. Using CMOS only PMOS and NMOS is used for construction of circuit, by using CPL complementary part of the inputs are given and complementary part of the output is generated. In Transmission Gates combination of NMOS and PMOS in parallel manner gives the output based on the control signal inputs forced into the gates. By the usage of Transmission Gates speed of operations can be made better which in turn increases the speed of the end product chip. Power dissipation is very high in static and dynamic CMOS wherein gates are little reduced by usage of transmission gates. CMOS circuits cannot limit to the certainty of ceramic packages too. 32 transistors are needed in general to construct 1- bit adder using Complementary Pass Transistor Logic. 28 transistors are needed in general to ISSN X 331

2 construct 1- bit adder using CMOS logic. 20 transistors are needed in general to construct 1- bit adder using Complementary Pass Transistor Logic which proves that this technique is efficient [5] The research paper is organized as few sections including this introduction section I.Section II explains the CMOS implementation of Multiplexers(both 2:1 and 4:1), Priority encoder, 1 bit Magnitude comparator, Section III introduces the Pass Transistor logic implementation of Multiplexer, Priority encoder, 1 bit Magnitude comparator. Section IV summarizes the few comparison analysis came out from previous section. Finally section V concludes with result. II. CMOS Logic Implementation A CMOS circuit is having a unique feature that is duality which presents between its PMOS transistors and NMOS transistors.in CMOS circuits, a path is created either from the output to power source or from output to ground. This can be achieved only when the set of all paths from output to the voltage source should be the complement of the set of all paths from output to ground. And hence always the NMOS will be in parallel combination with PMOS are in series and NMOS will be in series combination with PMOS are in parallel. The dynamic power consumption is given by the formula Where C is the Load Capacitance. V is the supply voltage. f is the clock frequency. Figure 1: CMOS based 4X2 Priority Encoder In CMOS circuit design the power dissipation in PMOS network is half of the total power dissipation. During discharging phase of clock cycle the heat is dissipated in the output load. Based on switching activity reduction and input voltage scaling methods many power reduction techniques have been proposed in CMOS design. But in most of the methods the energy drawn from the input supply is dissipated in the form of heat. ISSN X 332

3 Figure 2: CMOS based 4X1 MUX Figure 3: CMOS based 2X1 MUX ISSN X 333

4 III. Figure 4: CMOS based 1 Bit Magnitude Comparator Pass Transistor Logic Implementation The Pass transistor logic has many advantages like the usage of few transistors, small input capacitance, less wiring overhead. Hardware reduction is the final output of using the Pass transistor logic. Delay also plays a major role in many of the complex circuits which leads to failure of chips at times.minimization of delay and increase of speed are some of the parameters that can be achieved using the pass transistor logic. When the logic implementation of certain circuits is very complex, sometimes buffer insertion may be required. Many conditions and criteria also posed to insert buffer in the circuits during signal restoration. Although the problem is tend to be NP-Hard many linear methods can be used to solve this issues[6]. A periodic clock signal drives Pass transistors and depending on the input signal V in, the parasitic capacitance C x gets charged up or discharged. The capacitance of pass transistors charged to logic high when it receives clk = 1 and capacitance discharged to logic low when it receives clk=0.[7] [8]. Pass transistors use NMOS devices for logic implementation. NMOS devices are designed in such a way that it is good in passing a 0 and poor in passing the voltage from supply voltage V DD. When the node is connected to logic high the pass transistor will get charged to V DD V TN (V S ) where VVs = VDD (VTN0 + g 2φf + Vs 2φf ) ISSN X 334

5 Figure 5: Pass Transistor based 4X2 Priority Encoder Priority encoder is categorized under the parallel-prefix computations and it is one of the basic digital circuits. Its main function includes mediation among various stages of different priority and it gives access to a shared resources. In general, the bit which is provided the highest priority and its incrementing bits are selected and all the other lower priority bits are discarded. The priority encoder has 2 N inputs and N outputs. Time delay is calculated by the formula Td= (T f +T r )/2 = (K*C L /(β n *VDD) + K*C L /(β p *V DD ). Figure 6: Pass Transistor based 4X1 MUX ISSN X 335

6 Figure 7: Pass Transistor based 2X1 MUX 4:1 MUX is constructed using two 2:1 MUX. Select lines based on the input requirements are made. Input reordering is incorporated in 4:1 MUX where the pass transistors are connected in such a manner that proper output is selected based on the selection lines and with few inputs ordering properly. Pass transistors work in such a way that the source of pass transistors is connected to the respective inputs and drain terminal of the pass transistor is connected to the output or to the other stage of the circuit. The input terminals of pass transistor is also connected to the select lines in case of MUX by which Pass transistors make the circuit simple and reduces complexity compared to the MUX created using CMOS. Input reordering is also one of the optimum method to reduce the power consumption of the circuit as well as to reduce the delay produced during the working of the circuit. The internal parasitic capacitance can also be reduced because of this reduced terminals and interconnections. Figure 8: Pass Transistor based 1 bit Magnitude Comparator ISSN X 336

7 Comparators are widely used in many analog to digital converters as well as in many applications like PLL. High speed comparators can be created using pass transistor logic where area becomes quite small in the designing. Power delay product also becomes less using pass transistor logic. Output voltage swing is less which produce more or less stable output voltage without distortions. Shutdown techniques also proposed wherein when the lower bits of the inputs are compared higher bits are kept in idle state. When the higher bits of the inputs are compared lower bits are kept in idle state. By this way the extra power consumed can be reduced and cooling techniques for many circuits need not be proposed. Serial architectures may be used to pose the inputs into the circuit where only few bits can be given as input. Serial architectures can be combined together to feed the long chain inputs. For better efficiency of power consumption an auxiliary power measurement circuit construction can be made to measure the power efficiency. The pass transistors operates in linear region and hence it is considered as resistance RR = 1 kk(vvvvvv VVVVVV ) aa /2at Vgs=(Vdd-Vtn)/2 IV. Simulation Results The transistor count, power consumption and the delay of the above circuits are found out by simulation. The comparison for CMOS Logic and Pass transistor logic implementation of circuits are noted in tabular column. Table I: Parameters Comparison Circuit Logic No of Transistors Power(W) Delay (ns) 2:1 mux CMOS e pass e :1 mux CMOS e pass e x2 priority encoder CMOS e pass e bit comparator CMOS e pass e A. Graphical Comparison of Parameters for CMOS Logic and Pass Transistor Logic Implementation ISSN X 337

8 V. Conclusion and Future Wok In this paper pass transistor logic based mux, priority encoder and magnitude comparator are designed and simulated. The CMOS logic implementation is also resented and simulated. The simulation results shows that the pass transistor based digital circuit implementation provides better results in reduction in transistor count, delay, power consumption and reduction in interconnect length compared to CMOS logic implementation. The reduction in transistor count will lead to lesser chip area which is the most needed criteria for many applications. Basic digital circuits can be implemented with Pass transistors. The pass transistors can be evolved to have less power consumption by making threshold voltage of pass transistor as lesser. References [1] Chandrakasan, A.P., Sheng, S. and Brodersen, R.W. Low-power CMOS digital design. IEICE Transactions on Electronics 75 (4) (1992) [2] Ding, L. and Mazumder, P. A novel technique to improve noise immunity of CMOS dynamic logic circuits. Proceedings of the 41st annual Conference on Design Automation, 2004, [3] Zimmermann, R. and Fichtner, W. Low-power logic styles: CMOS versus pass-transistor logic. IEEE journal of solid-state circuits 32 (7) (1997) [4] Baliga, A. and Yagain, D. Design of High speed adders using CMOS and Transmission gates in Submicron Technology: a Comparative Study. 4th International Conference on Emerging Trends in Engineering and Technology (ICETET), 2011, [5] Sharma, S. and Soni, G. Comparison analysis of FinFET based 1-bit full adder cell implemented using different logic styles at 10, 22 and 32NM. International Conference on Energy Efficient Technologies for Sustainability (ICEETS), 2016, [6] Zhou, H. and Aziz, A. Buffer minimization in pass transistor logic. IEEE transactions on computer-aided design of integrated circuits and systems 20 (5) (2001) [7] Pedron, C. and Stauffer, A. Analysis and synthesis of combinational pass transistor circuits. IEEE transactions on computer-aided design of integrated circuits and systems 7 (7) (1988) [8] Pucknell, D.A. and Eshraghian, K. Basic VLSI design: systems and circuits. Prentice-Hall, Inc., [9] Ciletti, M.D. and Morris Mano, M. Digital design, 4th Ed., Pearson, India, [10] Gao, L. High performance Complementary Pass transistor Logic full adder. International Conference on Electronic and Mechanical Engineering and Information Technology (EMEIT), 2011, [11] Kumar, R. and Pandey, V.K. A New 5-Transistor XOR-XNOR circuit based on the pass transistor logic. In World Congress on Information and Communication Technologies (WICT), 2011, [12] Ramakrishnan, L.N., Chakkaravarthy, M., Manchanda, A.S., Borowczak, M. and Vemuri, R. SDMLp: On the use of complementary Pass transistor Logic for design of DPA resistant circuits. IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), 2012, [13] Berg, Y. and Azadmehr, M. Novel ultra low-voltage and high-speed CMOS pass transistor logic. Faible Tension Faible Consommation (FTFC), 2012, 1-4. ISSN X 338

Pass Transistor and CMOS Logic Configuration based De- Multiplexers

Pass Transistor and CMOS Logic Configuration based De- Multiplexers Abstract: Pass Transistor and CMOS Logic Configuration based De- Multiplexers 1 K Rama Krishna, 2 Madanna, 1 PG Scholar VLSI System Design, Geethanajali College of Engineering and Technology, 2 HOD Dept

More information

Design of New Full Swing Low-Power and High- Performance Full Adder for Low-Voltage Designs

Design of New Full Swing Low-Power and High- Performance Full Adder for Low-Voltage Designs International Academic Institute for Science and Technology International Academic Journal of Science and Engineering Vol. 2, No., 201, pp. 29-. ISSN 2-9 International Academic Journal of Science and Engineering

More information

Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique

Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique Ch. Mohammad Arif 1, J. Syamuel John 2 M. Tech student, Department of Electronics Engineering, VR Siddhartha Engineering College,

More information

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop Indian Journal of Science and Technology, Vol 8(7), 622 628, April 2015 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 DOI: 10.17485/ijst/2015/v8i7/62847 A High Performance Asynchronous Counter using

More information

Gdi Technique Based Carry Look Ahead Adder Design

Gdi Technique Based Carry Look Ahead Adder Design IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 6, Ver. I (Nov - Dec. 2014), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Gdi Technique Based Carry Look Ahead Adder Design

More information

Performance Comparison of Pass Transistor and CMOS Logic Configuration based De-Multiplexers

Performance Comparison of Pass Transistor and CMOS Logic Configuration based De-Multiplexers Performance Comparison of Pass Transistor and CMO Logic Configuration based De-Multiplexers Arun Pratap ingh Rathod, Praveen Lakhera, A. K. Baliga, Poornima Mittal and Brijesh Kumar Department of Electronics

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications International Journal of Research Studies in Computer Science and Engineering (IJRSCSE) Volume. 1, Issue 5, September 2014, PP 30-42 ISSN 2349-4840 (Print) & ISSN 2349-4859 (Online) www.arcjournals.org

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 5.71 International Journal of Advance Engineering and Research Development Volume 5, Issue 05, May -2018 e-issn (O): 2348-4470 p-issn (P): 2348-6406 COMPARATIVE

More information

International Journal of Advanced Research in Biology Engineering Science and Technology (IJARBEST)

International Journal of Advanced Research in Biology Engineering Science and Technology (IJARBEST) Abstract NEW HIGH PERFORMANCE 4 BIT PARALLEL ADDER USING DOMINO LOGIC Department Of Electronics and Communication Engineering UG Scholar, SNS College of Engineering Bhuvaneswari.N [1], Hemalatha.V [2],

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

Design of 64-Bit Low Power ALU for DSP Applications

Design of 64-Bit Low Power ALU for DSP Applications Design of 64-Bit Low Power ALU for DSP Applications J. Nandini 1, V.V.M.Krishna 2 1 M.Tech Scholar [VLSI Design], Department of ECE, KECW, Narasaraopet, A.P., India 2 Associate Professor, Department of

More information

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Mr. Y.Satish Kumar M.tech Student, Siddhartha Institute of Technology & Sciences. Mr. G.Srinivas, M.Tech Associate

More information

Enhancement of Design Quality for an 8-bit ALU

Enhancement of Design Quality for an 8-bit ALU ABHIYANTRIKI An International Journal of Engineering & Technology (A Peer Reviewed & Indexed Journal) Vol. 3, No. 5 (May, 2016) http://www.aijet.in/ eissn: 2394-627X Enhancement of Design Quality for an

More information

Design Analysis of 1-bit Comparator using 45nm Technology

Design Analysis of 1-bit Comparator using 45nm Technology Design Analysis of 1-bit Comparator using 45nm Technology Pardeep Sharma 1, Rajesh Mehra 2 1,2 Department of Electronics and Communication Engineering, National Institute for Technical Teachers Training

More information

Low Power Adiabatic Logic Design

Low Power Adiabatic Logic Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 1, Ver. III (Jan.-Feb. 2017), PP 28-34 www.iosrjournals.org Low Power Adiabatic

More information

A Literature Survey on Low PDP Adder Circuits

A Literature Survey on Low PDP Adder Circuits Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 12, December 2015,

More information

Implementation of Carry Select Adder using CMOS Full Adder

Implementation of Carry Select Adder using CMOS Full Adder Implementation of Carry Select Adder using CMOS Full Adder Smitashree.Mohapatra Assistant professor,ece department MVSR Engineering College Nadergul,Hyderabad-510501 R. VaibhavKumar PG Scholar, ECE department(es&vlsid)

More information

Design and Implementation of Complex Multiplier Using Compressors

Design and Implementation of Complex Multiplier Using Compressors Design and Implementation of Complex Multiplier Using Compressors Abstract: In this paper, a low-power high speed Complex Multiplier using compressor circuit is proposed for fast digital arithmetic integrated

More information

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Gaddam Sushil Raj B.Tech, Vardhaman College of Engineering. ABSTRACT: Arithmetic logic unit (ALU) is an important part of microprocessor. In

More information

II. Previous Work. III. New 8T Adder Design

II. Previous Work. III. New 8T Adder Design ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: High Performance Circuit Level Design For Multiplier Arun Kumar

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR

LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR B. Sathiyabama 1, Research Scholar, Sathyabama University, Chennai, India, mathumithasurya@gmail.com Abstract Dr. S. Malarkkan 2, Principal,

More information

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System 1 Raj Kumar Mistri, 2 Rahul Ranjan, 1,2 Assistant Professor, RTC Institute of Technology, Anandi, Ranchi, Jharkhand,

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A new 6-T multiplexer based full-adder for low power and leakage current optimization A new 6-T multiplexer based full-adder for low power and leakage current optimization G. Ramana Murthy a), C. Senthilpari, P. Velrajkumar, and T. S. Lim Faculty of Engineering and Technology, Multimedia

More information

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique Mohd Shahid M.Tech Student Al-Habeeb College of Engineering and Technology. Abstract Arithmetic logic unit (ALU) is an

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

DESIGN OF 64 BIT LOW POWER ALU FOR DSP APPLICATIONS

DESIGN OF 64 BIT LOW POWER ALU FOR DSP APPLICATIONS DESIGN OF 64 BIT LOW POWER ALU FOR DSP APPLICATIONS Rajesh Pidugu 1, P. Mahesh Kannan 2 M.Tech Scholar [VLSI Design], Department of ECE, SRM University, Chennai, India 1 Assistant Professor, Department

More information

Design of 2-bit Full Adder Circuit using Double Gate MOSFET

Design of 2-bit Full Adder Circuit using Double Gate MOSFET Design of 2-bit Full Adder Circuit using Double Gate S.Anitha 1, A.Logeaswari 2, G.Esakkirani 2, A.Mahalakshmi 2. Assistant Professor, Department of ECE, Renganayagi Varatharaj College of Engineering,

More information

Power Efficient adder Cell For Low Power Bio MedicalDevices

Power Efficient adder Cell For Low Power Bio MedicalDevices IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 2, Ver. III (Mar-Apr. 2014), PP 39-45 e-issn: 2319 4200, p-issn No. : 2319 4197 Power Efficient adder Cell For Low Power Bio MedicalDevices

More information

Area and Power Efficient Pass Transistor Based (PTL) Full Adder Design

Area and Power Efficient Pass Transistor Based (PTL) Full Adder Design This work by IJARBEST is licensed under Creative Commons Attribution 4.0 International License. Available at https://www.ijarbest.com Area and Power Efficient Pass Transistor Based (PTL) Full Adder Design

More information

Design and Implementation of ALU Chip using D3L Logic and Ancient Mathematics

Design and Implementation of ALU Chip using D3L Logic and Ancient Mathematics Design and Implementation of ALU Chip using D3L and Ancient Mathematics Mohanarangan S PG Student (M.E-Applied Electronics) Department of Electronics and Communicaiton Engineering Sri Venkateswara College

More information

the cascading of two stages in CMOS domino logic[7,8]. The operating period of a cell when its input clock and output are low is called the precharge

the cascading of two stages in CMOS domino logic[7,8]. The operating period of a cell when its input clock and output are low is called the precharge 1.5v,.18u Area Efficient 32 Bit Adder using 4T XOR and Modified Manchester Carry Chain Ajith Ravindran FACTS ELCi Electronics and Communication Engineering Saintgits College of Engineering, Kottayam Kerala,

More information

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES PSowmya #1, Pia Sarah George #2, Samyuktha T #3, Nikita Grover #4, Mrs Manurathi *1 # BTech,Electronics and Communication,Karunya

More information

Figure 1 Basic Block diagram of self checking logic circuit

Figure 1 Basic Block diagram of self checking logic circuit Volume 4, Issue 7, July 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Design Analysis

More information

Leakage Power Reduction in 5-Bit Full Adder using Keeper & Footer Transistor

Leakage Power Reduction in 5-Bit Full Adder using Keeper & Footer Transistor Leakage Power Reduction in 5-Bit Full Adder using Keeper & Footer Transistor Narendra Yadav 1, Vipin Kumar Gupta 2 1 Department of Electronics and Communication, Gyan Vihar University, Jaipur, Rajasthan,

More information

A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design

A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design 1 B. Dilli Kumar, 2 A. Chandra Babu, 2 V. Prasad 1 Assistant Professor, Dept. of ECE, Yoganada Institute of Technology & Science,

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application

A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application Rumi Rastogi and Sujata Pandey Amity University Uttar Pradesh, Noida, India Email: rumi.ravi@gmail.com,

More information

A Novel Hybrid Full Adder using 13 Transistors

A Novel Hybrid Full Adder using 13 Transistors A Novel Hybrid Full Adder using 13 Transistors Lee Shing Jie and Siti Hawa binti Ruslan Department of Electrical and Electronic Engineering, Faculty of Electric & Electronic Engineering Universiti Tun

More information

International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July ISSN

International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July ISSN International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July-2015 636 Low Power Consumption exemplified using XOR Gate via different logic styles Harshita Mittal, Shubham Budhiraja

More information

Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit

Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit Available online www.ejaet.com European Journal of Advances in Engineering and Technology, 2017, 4 (5): 319-325 Research Article ISSN: 2394-658X Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit

More information

Keywords: VLSI; CMOS; Pass Transistor Logic (PTL); Gate Diffusion Input (GDI); Parellel In Parellel Out (PIPO); RAM. I.

Keywords: VLSI; CMOS; Pass Transistor Logic (PTL); Gate Diffusion Input (GDI); Parellel In Parellel Out (PIPO); RAM. I. Comparison and analysis of sequential circuits using different logic styles Shofia Ram 1, Rooha Razmid Ahamed 2 1 M. Tech. Student, Dept of ECE, Rajagiri School of Engg and Technology, Cochin, Kerala 2

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style International Journal of Advancements in Research & Technology, Volume 1, Issue3, August-2012 1 Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style Vishal Sharma #, Jitendra Kaushal Srivastava

More information

2-Bit Magnitude Comparator Design Using Different Logic Styles

2-Bit Magnitude Comparator Design Using Different Logic Styles International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 Volume 2 Issue 1 ǁ January. 2013 ǁ PP.13-24 2-Bit Magnitude Comparator Design Using Different Logic

More information

Low power high speed hybrid CMOS Full Adder By using sub-micron technology

Low power high speed hybrid CMOS Full Adder By using sub-micron technology Low power high speed hybrid CMOS Full Adder By using sub-micron technology Ch.Naveen Kumar 1 Assistant professor,ece department GURUNANAK institutions technical campus Hyderabad-501506 A.V. Rameshwar Rao

More information

High Performance Low-Power Signed Multiplier

High Performance Low-Power Signed Multiplier High Performance Low-Power Signed Multiplier Amir R. Attarha Mehrdad Nourani VLSI Circuits & Systems Laboratory Department of Electrical and Computer Engineering University of Tehran, IRAN Email: attarha@khorshid.ece.ut.ac.ir

More information

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic M.Manikandan 2,Rajasri 2,A.Bharathi 3 Assistant Professor, IFET College of Engineering, Villupuram, india 1 M.E,

More information

Low Power &High Speed Domino XOR Cell

Low Power &High Speed Domino XOR Cell Low Power &High Speed Domino XOR Cell Payal Soni Electronics and Communication Department, FET- Mody University Lakshmangarh, Dist.-Sikar, India E-mail: payal.soni3091@gmail.com Abstract Shiwani Singh

More information

Design and Implementation of combinational circuits in different low power logic styles

Design and Implementation of combinational circuits in different low power logic styles IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. II (Nov -Dec. 2015), PP 01-05 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design and Implementation of

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

Comparator Design Analysis using Efficient Low Power Full Adder Meena Aggarwal 1, Rajesh Mehra 2 1 ME student (ECE), 2 Associate Professor

Comparator Design Analysis using Efficient Low Power Full Adder Meena Aggarwal 1, Rajesh Mehra 2 1 ME student (ECE), 2 Associate Professor International Journal of Engineering Trends and Technology (IJETT) olume 26 Number 1- August 2015 Comparator Design Analysis using Efficient Low Power Full Adder Meena Aggarwal 1, Rajesh Mehra 2 1 ME student

More information

Integration of Optimized GDI Logic based NOR Gate and Half Adder into PASTA for Low Power & Low Area Applications

Integration of Optimized GDI Logic based NOR Gate and Half Adder into PASTA for Low Power & Low Area Applications Integration of Optimized GDI Logic based NOR Gate and Half Adder into PASTA for Low Power & Low Area Applications M. Sivakumar Research Scholar, ECE Department, SCSVMV University, Kanchipuram, India. Dr.

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

A Novel Approach for High Speed and Low Power 4-Bit Multiplier

A Novel Approach for High Speed and Low Power 4-Bit Multiplier IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 3 (Nov. - Dec. 2012), PP 13-26 A Novel Approach for High Speed and Low Power 4-Bit Multiplier

More information

Design of low-power, high performance flip-flops

Design of low-power, high performance flip-flops Int. Journal of Applied Sciences and Engineering Research, Vol. 3, Issue 4, 2014 www.ijaser.com 2014 by the authors Licensee IJASER- Under Creative Commons License 3.0 editorial@ijaser.com Research article

More information

A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION

A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION Mr. Snehal Kumbhalkar 1, Mr. Sanjay Tembhurne 2 Department of Electronics and Communication Engineering GHRAET, Nagpur, Maharashtra,

More information

An Efficient and High Speed 10 Transistor Full Adders with Lector Technique

An Efficient and High Speed 10 Transistor Full Adders with Lector Technique IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 5, Ver. II (Sep.- Oct. 2017), PP 68-73 www.iosrjournals.org An Efficient and

More information

Design and Performance Analysis of High Speed Low Power 1 bit Full Adder

Design and Performance Analysis of High Speed Low Power 1 bit Full Adder Design and Performance Analysis of High Speed Low Power 1 bit Full Adder Gauri Chopra 1, Sweta Snehi 2 PG student [RNA], Dept. of MAE, IGDTUW, New Delhi, India 1 PG Student [VLSI], Dept. of ECE, IGDTUW,

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

Comparison of High Speed & Low Power Techniques GDI & McCMOS in Full Adder Design

Comparison of High Speed & Low Power Techniques GDI & McCMOS in Full Adder Design International Conference on Multidisciplinary Research & Practice P a g e 625 Comparison of High Speed & Low Power Techniques & in Full Adder Design Shikha Sharma 1, ECE, Geetanjali Institute of Technical

More information

Implementation of High Performance Carry Save Adder Using Domino Logic

Implementation of High Performance Carry Save Adder Using Domino Logic Page 136 Implementation of High Performance Carry Save Adder Using Domino Logic T.Jayasimha 1, Daka Lakshmi 2, M.Gokula Lakshmi 3, S.Kiruthiga 4 and K.Kaviya 5 1 Assistant Professor, Department of ECE,

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Dr. Saravanan Savadipalayam Venkatachalam Principal and Professor, Department of Mechanical

More information

Low-Power High-Speed Double Gate 1-bit Full Adder Cell

Low-Power High-Speed Double Gate 1-bit Full Adder Cell INTL JOURNAL OF ELECTRONICS AND TELECOMMUNICATIONS, 2016, VOL. 62, NO. 4, PP. 329-334 Manuscript received October 15, 2016; revised November, 2016. DOI: 10.1515/eletel-2016-0045 Low-Power High-Speed Double

More information

IMPLEMENTATION OF POWER GATING TECHNIQUE IN CMOS FULL ADDER CELL TO REDUCE LEAKAGE POWER AND GROUND BOUNCE NOISE FOR MOBILE APPLICATION

IMPLEMENTATION OF POWER GATING TECHNIQUE IN CMOS FULL ADDER CELL TO REDUCE LEAKAGE POWER AND GROUND BOUNCE NOISE FOR MOBILE APPLICATION International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN 2249-684X Vol.2, Issue 3 Sep 2012 97-108 TJPRC Pvt. Ltd., IMPLEMENTATION OF POWER

More information

PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO

PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO Paras Gupta 1, Pranjal Ahluwalia 2, Kanishk Sanwal 3, Peyush Pande 4 1,2,3,4 Department of Electronics

More information

Design and Analyse Low Power Wallace Multiplier Using GDI Technique

Design and Analyse Low Power Wallace Multiplier Using GDI Technique IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 2, Ver. III (Mar.-Apr. 2017), PP 49-54 www.iosrjournals.org Design and Analyse

More information

Booth Multiplier Implementation Using Low Power Finfet Technique

Booth Multiplier Implementation Using Low Power Finfet Technique Volume 5 Issue 1 March 2017 ISSN: 2320-9984 (Online) International Journal of Modern Engineering & Management Research Website: www.ijmemr.org Amit Rajawat M. Tech. Research Scholar ITM University Gwalior

More information

2-BIT COMPARATOR WITH 8-TRANSISTOR 1-BIT FULL ADDER WITH CAPACITOR

2-BIT COMPARATOR WITH 8-TRANSISTOR 1-BIT FULL ADDER WITH CAPACITOR 2-BIT COMPARATOR WITH 8-TRANSISTOR 1-BIT FULL ADDER WITH CAPACITOR C.CHANDAN KUMAR M.Tech-VLSI, Department of ECE, Sree vidyanikethan Engineering college A.Rangampet, Tirupati, India chennachandu123@gmail.com

More information

Analysis of Different CMOS Full Adder Circuits Based on Various Parameters for Low Voltage VLSI Design

Analysis of Different CMOS Full Adder Circuits Based on Various Parameters for Low Voltage VLSI Design International Journal of Engineering and Technical Research (IJETR) Analysis of Different CMOS Full Adder Circuits Based on Various Parameters for Low Voltage VLSI Design Mr. Kapil Mangla, Mr. Shashank

More information

An energy efficient full adder cell for low voltage

An energy efficient full adder cell for low voltage An energy efficient full adder cell for low voltage Keivan Navi 1a), Mehrdad Maeen 2, and Omid Hashemipour 1 1 Faculty of Electrical and Computer Engineering of Shahid Beheshti University, GC, Tehran,

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

A Novel Low Power, High Speed 14 Transistor CMOS Full Adder Cell with 50% Improvement in Threshold Loss Problem

A Novel Low Power, High Speed 14 Transistor CMOS Full Adder Cell with 50% Improvement in Threshold Loss Problem A Novel Low Power, High Speed 4 Transistor CMOS Full Adder Cell with 5% Improvement in Threshold Loss Problem T. Vigneswaran, B. Mukundhan, and P. Subbarami Reddy Abstract Full adders are important components

More information

Design of Adders with Less number of Transistor

Design of Adders with Less number of Transistor Design of Adders with Less number of Transistor Mohammed Azeem Gafoor 1 and Dr. A R Abdul Rajak 2 1 Master of Engineering(Microelectronics), Birla Institute of Technology and Science Pilani, Dubai Campus,

More information

Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier

Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier LETTER IEICE Electronics Express, Vol.11, No.6, 1 7 Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier S. Vijayakumar 1a) and Reeba Korah 2b) 1

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Design of Modified Shannon Based Full Adder Cell Using PTL Logic for Low Power Applications

Design of Modified Shannon Based Full Adder Cell Using PTL Logic for Low Power Applications Design of Modified Shannon Based Full Adder Cell Using PTL Logic for Low Power Applications K.Purnima #1, S.AdiLakshmi #2, M.Sahithi #3, A.Jhansi Rani #4,J.Poornima #5 #1 M.Tech student, Department of

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 8, August ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 8, August ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 8, August-2013 1156 Novel Low Power Shrikant and M Pattar, High H V Ravish Speed Aradhya 8T Full Adder Abstract - Full adder

More information

Design a Low Power CNTFET-Based Full Adder Using Majority Not Function

Design a Low Power CNTFET-Based Full Adder Using Majority Not Function Design a Low Power CNTFET-Based Full Adder Using Majority Not Function Seyedehsomayeh Hatefinasab * Department of Electrical and Computer Engineering, Payame Noor University, Sari, Iran. *Corresponding

More information

ISSN Vol.04, Issue.05, May-2016, Pages:

ISSN Vol.04, Issue.05, May-2016, Pages: ISSN 2322-0929 Vol.04, Issue.05, May-2016, Pages:0332-0336 www.ijvdcs.org Full Subtractor Design of Energy Efficient, Low Power Dissipation Using GDI Technique M. CHAITANYA SRAVANTHI 1, G. RAJESH 2 1 PG

More information

Design of Full Adder Circuit using Double Gate MOSFET

Design of Full Adder Circuit using Double Gate MOSFET Design of Full Adder Circuit using Double Gate MOSFET Dr.K.Srinivasulu Professor, Dept of ECE, Malla Reddy Collage of Engineering. Abstract: This paper presents a design of a one bit cell based on degenerate

More information

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer G.Bramhini M.Tech (VLSI), Vidya Jyothi Institute of Technology. G.Ravi Kumar, M.Tech Assistant Professor, Vidya Jyothi Institute of

More information

Adiabatic Logic Circuits for Low Power, High Speed Applications

Adiabatic Logic Circuits for Low Power, High Speed Applications IJSTE - International Journal of Science Technology & Engineering Volume 3 Issue 10 April 2017 ISSN (online): 2349-784X Adiabatic Logic Circuits for Low Power, High Speed Applications Satyendra Kumar Ram

More information

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN Mr. Sunil Jadhav 1, Prof. Sachin Borse 2 1 Student (M.E. Digital Signal Processing), Late G. N. Sapkal College of Engineering, Nashik,jsunile@gmail.com 2 Professor

More information

Design and Analysis of Low-Power 11- Transistor Full Adder

Design and Analysis of Low-Power 11- Transistor Full Adder Design and Analysis of Low-Power 11- Transistor Full Adder Ravi Tiwari, Khemraj Deshmukh PG Student [VLSI, Dept. of ECE, Shri Shankaracharya Technical Campus(FET), Bhilai, Chattisgarh, India 1 Assistant

More information

Design of an Energy Efficient 4-2 Compressor

Design of an Energy Efficient 4-2 Compressor IOP Conference Series: Materials Science and Engineering PAPER OPEN ACCESS Design of an Energy Efficient 4-2 Compressor To cite this article: Manish Kumar and Jonali Nath 2017 IOP Conf. Ser.: Mater. Sci.

More information

Analysis of Different Full Adder Designs with Power using CMOS 130nm Technology

Analysis of Different Full Adder Designs with Power using CMOS 130nm Technology Analysis of Different Full Adder Designs with Power using CMOS 130nm Technology J. Kavitha 1, J. Satya Sai 2, G. Gowthami 3, K.Gopi 4, G.Shainy 5, K.Manvitha 6 1, 2, 3, 4, 5, St. Ann s College of Engineering

More information

ISSN:

ISSN: 343 Comparison of different design techniques of XOR & AND gate using EDA simulation tool RAZIA SULTANA 1, * JAGANNATH SAMANTA 1 M.TECH-STUDENT, ECE, Haldia Institute of Technology, Haldia, INDIA ECE,

More information

Design and Analysis of CMOS and Adiabatic logic using 1:16 Multiplexer and 16:1 Demultiplexer

Design and Analysis of CMOS and Adiabatic logic using 1:16 Multiplexer and 16:1 Demultiplexer Design and Analysis of CMOS and Adiabatic logic using 1:16 Multiplexer and 16:1 Demultiplexer K.Anitha 1, R.Jayachitra 2 PG Student [EST], Dept. of EEE, Arunai Engineering College, Thiruvannamalai, Tamilnadu,

More information

MACGDI: Low Power MAC Based Filter Bank Using GDI Logic for Hearing Aid Applications

MACGDI: Low Power MAC Based Filter Bank Using GDI Logic for Hearing Aid Applications International Journal of Electronics and Electrical Engineering Vol. 5, No. 3, June 2017 MACGDI: Low MAC Based Filter Bank Using GDI Logic for Hearing Aid Applications N. Subbulakshmi Sri Ramakrishna Engineering

More information

Design of GDI Based Power Efficient Combinational Circuits and Comparison with Other Logic Styles

Design of GDI Based Power Efficient Combinational Circuits and Comparison with Other Logic Styles Design of GDI Based Power Efficient Combinational Circuits and Comparison with Other Logic Styles Silpa T S, Athira V R Abstract In the modern era, power dissipation has become a major and vital constraint

More information

PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY

PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY International Journal of Microelectronics Engineering (IJME), Vol. 1, No.1, 215 PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY K.Dhanunjaya 1, Dr.MN.Giri Prasad 2, Dr.K.Padmaraju

More information

Sophisticated design of low power high speed full adder by using SR-CPL and Transmission Gate logic

Sophisticated design of low power high speed full adder by using SR-CPL and Transmission Gate logic Scientific Journal of Impact Factor(SJIF): 3.134 International Journal of Advance Engineering and Research Development Volume 2,Issue 3, March -2015 e-issn(o): 2348-4470 p-issn(p): 2348-6406 Sophisticated

More information

Low-power Full Adder array-based Multiplier with Domino Logic

Low-power Full Adder array-based Multiplier with Domino Logic IOSR Journal of Electronics and Communication Engineering (IOSRJECE) ISSN : 2278-2834 Volume 1, Issue 1 (May-June 2012), PP 18-22 Low-power Full Adder array-based Multiplier with Domino Logic M.B. Damle

More information

NOVEL DESIGN OF 10T FULL ADDER WITH 180NM CMOS TECHNOLOGY

NOVEL DESIGN OF 10T FULL ADDER WITH 180NM CMOS TECHNOLOGY International Journal of Electronics Engineering Research. ISSN 0975-6450 Volume 9, Number 9 (2017) pp. 1407-1414 Research India Publications http://www.ripublication.com NOVEL DESIGN OF 10T FULL ADDER

More information