Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques

Size: px
Start display at page:

Download "Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques"

Transcription

1 Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques Safeen Huda and Jason Anderson International Symposium on Physical Design Santa Rosa, CA, April 6,

2 Motivation FPGA power increasingly critical because of new markets Data centers Mobile electronics *Google data centre 2

3 Motivation FPGAs typically have underutilized wires We ask: Can we take advantage of unused wires? This work: 3 techniques to reduce power w/ unused wires Charge recycling (dynamic) Effective capacitance reduction (dynamic) Pulse-based signalling (static) 3

4 Dynamic Power Reduction Techniques 4

5 Motivation *Figure taken from [Tuan07] Routing power is prime component of FPGA dynamic power 5

6 Charge Recycling in FPGA Interconnect 6

7 Dynamic Power in Conv. CCTs V DD V DD C L V DD coulombs of charge drawn from supply 0 CL V DD CL Switching from 0 to 1 draws C L V DD 2 joules 7

8 Dynamic Power in Conv. CCTs V DD V DD V DDCL 0 C L C L V DD coulombs of charge dissipated All of the stored energy in C L is dissipated Can we use the energy that is being dissipated? 8

9 Charge Recycling (CR) Concept V DD Initial Phase V DD 0 C L C R During 1 0 transition, output starts at V DD PDN disconnected, PUN connected 9

10 Charge Recycling (CR) Concept Charge Recovery Phase V DD *Assume C L = C R V DD /2 V DD /2 C L C R PUN, PDN disconnected, C L connected to C R ½ C L V DD coulombs of charge transferred 10

11 Charge Recycling (CR) Concept V DD Final Phase 0 V DD /2 C L C R PDN connected, PUN disconnected Output pulled to GND, ½ C L V DD coulombs dissipated 11

12 Charge Recycling (CR) Concept V DD Initial Phase 0 V DD /2 C L C R Output initially at GND during a 0 1 transition ½ C L V DD coulombs stored in C R 12

13 Charge Recycling (CR) Concept Charge Recycling Phase V DD *Assume C L = C R V DD /4 V DD /4 C L C R PUN, PDN disconnected, C L connected to C R ¼ C L V DD coulombs of charge transferred to C L 13

14 Charge Recycling (CR) Concept V DD Final Phase V DD V DD /4 C L C R ¾C L V DD coulombs of charge drawn from supply Implies 25% reduction in energy consumption 14

15 Observations We can reduce power if reservoir capacitors are available Use unused wires as reservoirs! CR requires complex set of steps -- area penalty to implement? FPGA routing circuits are big to begin with Large routing multiplexers Several SRAM cells Large output buffers to drive long capacitive wires Incremental area overhead of complex circuitry may not be too bad 15

16 CR in FPGAs Designs on FPGAs typically have paths with lots of slack Can trade-off the delay of these paths for power savings using CR Opportunity: [Anderson09] showed that 75% of switches in a design can be slowed down by 50% Target CR in FPGA routing switches Routing Switch Target output buffer for charge recovery/recycling Inputs V IN V IN Routing Wire ` 16

17 Proposed FPGA Routing Arch. CLB CLB CR Buffer SB Friend Conductors (2 way sharing) CLB CLB 17

18 CR Routing Buffer V DD CR TS V DD CR TS M10 V IN Gating Circuitry M9 C Wire Delay Line CR CR D IN DIN CR CR TS TS V IN_D CR Circuit Unused Routing Conductor SRAM Cell SRAM Cell C Wire C R = C WIRE CR sets state of buffer CR mode vs. Normal mode TS sets one of two friend buffers in tristate mode 18

19 Functional Simulation Output Recovery Phase Node Voltage [V] Reservoir Recycling Phase Time [ns] Simulated in ST65 process Approx. 26% power reduction Theoretical reduction of 33% - circuit overheads Assuming 200fF interconnect load 19

20 CAD Tool Support Power can be reduced for a routing switch if: 1) Friend conductor is unoccupied 2) Switch lies along path with sufficient slack To optimize CR in FPGAs, we need CAD which: Maximizes the availability of free reservoirs for nets with high activity and sufficient slack Optimizes the mode selection of switches 20

21 CAD Flow CIRCUIT Conven&onal VPR 6.0 packing and placement Modified VPR 6.0 Router Op&mizes availability of free reservoirs Post- rou&ng phase to select opera&ng mode of switches (CR vs. Normal) Packing Placement CR- aware Router Switch Mode Selection Net Activities %CR Capable Switches Timing Constraint.net,.route,.place files f max, power estimate 21

22 Results!"#$%&'$( )*+,&-$+-.*$( 5678(9'+*$"#$(&'( 0*&:+"%(;"-,( )*+,&-$+-.*$( (/&-,(01(0"2"3&%&-4( Arch. with 100% CR capable switches Best case 1.3% degradation CP delay Due to increased delay of CR capable switches Extra ~3% power reduction as delay constraints relaxed 22

23 Effective Interconnect Capacitance Reduction 25

24 VLSI Wire Capacitance M5 M4 C P C P C C C C C P C C C P C C C P C C C P C C C P C P C P C P C P M3 Wire capacitance consists of: Coupling capacitance (C C ) between adjacent wires on same layer Plate capacitance (C P ) between adjacent wires on different layers Due to aspect ratio of wires, C C is dominant 28

25 Wire Capacitance Optimization in ASICs (1) s 1 Total channel width, W net i net j net k w 1 s 2 w 2 s 3 w 3 s 4 In ASICs, have freedom to optimize wire width and spacing Can optimize w i and s i to maximize timing, minimize power Optimize w i and s i subject to Σw i + Σs i = W 30

26 Wire Capacitance Optimization in ASICs (2) Total channel width, W net i net j net k w 1 s 2 w 2 s 3 w 3 If net j is timing/power critical: Can increase s 2 and s 3 to reduce C C Reduces capacitance on net j, improves speed and reduces power Can also optimize w 1, w 2, w 3 for speed and power 31

27 In FPGAs? Routing Option 1 Routing Option 2 USED Conductors net i net j net k UNUSED Conductors USED Conductors net i net j net k UNUSED Conductors FPGA wiring prefabricated, width and spacing fixed Can t space used wires apart, unused wires in the way Capacitance on wires in two routing options the same Despite the fact that nets i,j,k are now spaced further apart 32

28 Wire Cap. Optimization (1) C C2 C C1 = C C C C1 C P Routing Conductor 2 C P Routing Conductor 3 IN 2 Z IN (s) C C2 + C P R EQ C P IN 1 Routing Conductor 1 What s the total impedance seen by Routing Conductor 1, looking towards Routing Conductor 2? 33

29 Wire Cap. Optimization (2) C C1 = C C C C1 = C C Z IN (s) Z IN (s) C C2 + C P R EQ C C2 + C P R EQ If R eq is small, capacitor C C2 + C P is shorted out Impedance looking towards Routing Conductor 2 is the capacitor C c 34

30 Wire Cap. Optimization (3) C C1 = C C C C1 = C C Z IN (s) Z IN (s) C C2 + C P R EQ C C2 + C P R EQ If R eq is large, we approximate as an open circuit Z IN equal to series combination of C C and C C2 + C P 35

31 Wire Cap. Optimization (3) Series combinations of capacitors result in reduced capacitance: If C 1 in series with C 2, eq. capacitance C eq = C 1 C 2 /(C 1 + C 2 ) < C1 Therefore can reduce capacitance if R eq is large enough Making R eq large is bad buffer delay ~ R eq C wire --> increase in R eq increases delay What if we made R eq large only for unused conductors? Would not result in increased delay of used conductors Neighbouring used conductors would see benefit of reduced cap. Need to be able to set R eq large for unused conductors, but small for used conductors Used tri-state buffers! 36

32 This Work USED Conductors net i net j Tristated Tristated Nets i and j still see reduced coupling capacitance UNUSED Conductors net k If intermediate wires are tristated, see reduced C C!! In this work we tristate unused wires to reduce wire cap Proposed a novel, lightweight TSB topology Used similar CAD techniques to CR work (won t cover in this talk) 40

33 Proposed Tristate Buffer 41

34 Traditional Tri-state Buffers V DD TS M5 V DD M3 M2 M4 IN OUT M1 M6 Header transistor M5 cuts off pull up path to output Unused buffer would have IN at VDD M 1 pulls gate of M 6 to GND Large area cost: M 2, M 4 and M 5 must be big due to of stacking 42

35 Optimized Headerless TSB V DD V DD V DD M2 M7 TS V DD V DD M9 IN M3 M5 M4 TS M8 OUT M1 No stacking in output stage Leverages fact that unused buffers have their input pulled high (details in paper) 43

36 CAD Flow CIRCUIT Conven&onal VPR 6.0 packing and placement Modified VPR 6.0 Router Op&mizes rou&ng to reduce capacitance of nets Packing Placement Wire Cap Opt. Router Net Activities C C /C P.net,.route,.place files f max, power estimate Power and speed of a conductor can be optimized if adjacent conductor(s) unused; similar to CAD flow in CR project User supplied C C /C P to estimate cap. reduction 46

37 Results!"#$%&'""$&#()'*$%(+$,-&.'"( ('"# (&"# (%"# ($"# (!"# '"# &"# %"# $"#!"# (# ()$# ()%# ()&# ()'# $# $)$# $)%# $)&# $)'# *# / / 0/ )( Dynamic power reduction exceeds 15% for C C /C P 3 Get additional 14.6% leakage power savings from TSB Critical path degradation ~1% Total area overhead ~2.1% 48

38 Static Power Reduction in FPGA Interconnect 50

39 Routing Leakage Sources V DD VDD M 4 M 2 M 3 M 1 S S S S V SS Leakage path between rails of config. memory Can be minimized by using HVT xtors. 51

40 Routing Leakage Sources V DD VDD M 4 M 2 M 3 M 1 S S S S V SS Leakage path between rails of routing buffer 52

41 Routing Leakage Sources V DD VDD M 4 M 2 M 3 M 1 S S S S V SS Leakage paths between different inputs of routing mux Modern archs. have large muxes à many leakage paths 53

42 This Work Can we shut off routing circuits when not active? i.e. not in the process of transmitting data Effectively a very aggressive form of power gating Dominant leakage paths in the routing network begin and end at routing buffers Leakage between rails of routing buffer Leakage between inputs pins of routing muxes originate and terminate at outputs of buffers driving these input pins Therefore, only shut off routing buffers when not transmitting data We call this pulsed-signalling 54

43 This work Recall CR operation: Output buffer is tristated immediately following input transition Wait (while charge is transferred b/w load and reservoir) Output buffer is activated after transfer of charge Pulsed-signalling is the exact opposite Output buffer is activated immediately following input transition Wait (for transition to be reliably detected by downstream circuits) Output buffer is tristated after signal transition Therefore we can use similar circuits as CR work to significantly reduce static power! Similar area overhead 55

44 Low Leakage Buffer Design V DD Coupling Cap. (MIM Cap) M 7 VINB M 9 M 10 V IN C C Receiver Stage Gating Stage V OUT M 11 Routing multiplexor M 8 V INB M 12 Routing conductor Main Buffer Stage Low Leakage Diodes act as keepers Main buffer is active for a period of time (Δt seconds) after transition appears at input Main buffer is tristated Δt seconds after transition 56

45 Low Leakage Buffer Design V DD Coupling Cap. (MIM Cap) M 7 VINB M 9 M 10 V IN C C Receiver Stage Gating Stage V OUT M 11 Routing multiplexor M 8 V INB M 12 Routing conductor Main Buffer Stage In quiescent state voltage of routing wire may drift Due primarily to leakage Excessive voltage drift can lead to errors Magnitude of drift controlled by diodes Low Leakage Diodes act as keepers 57

46 Low Leakage Buffer Design V DD Coupling Cap. (MIM Cap) M 7 VINB M 9 M 10 V IN C C Receiver Stage Gating Stage V OUT M 11 Routing multiplexor M 8 V INB M 12 Routing conductor Main Buffer Stage Low Leakage Diodes act as keepers Non- digital voltage levels may lead to issues in downstream circuits Use capacitive coupling to DC voltage of routing wire from downstream circuits (MIM cap. used as coupling cap) Full latch used as receiver 58

47 Potential Pitfalls? V DD V DD Active Routing Driver V DD V DD V CPL V DTB Tristated Routing Driver Need to ensure V DTB is less than the switching threshold of downstream latch to prevent crosstalk-induced errors Only operate buffers in this mode of operation if a sufficient number of neighbours are unused 64

48 Robust Operation of Dynamic Gated Buffer Guarantee robust operation of buffer by ensuring certain number of adjacent wires are unused These act as shields Assume noise on routing wires is dominated by wire-towire coupling Assume layers above and below routing wires can adequately shield wires from noise sources above/below ALL noise comes from adjacent wires 65

49 CAD Tool Support Denote w.c. coupling noise on routing conductor N MAX (i) N MAX (i) is the sum of coupling noise from all neighbours Each neighbour contributes (C C /C T )V DD volts of noise C C is the coupling cap. between neighbours, C T is total cap. Let N S = max. noise s.t. receiver can suppress Receiver must be able to distinguish b/w noise and data When circuit is subject to 6σ parameter variation Static power redux possible if N MAX N S CAD support must: Route design to maximize # of conductors with N MAX N S Try to ensure conductors neighbouring used conductors are unused Optimizes the mode selection of switches 66

50 CAD Flow CIRCUIT Conven&onal VPR 6.0 packing and placement Packing Placement Modified VPR 6.0 Router Op&mizes # of rou&ng conductors with N MAX N S Post- rou&ng phase to select opera&ng mode of switches (PS vs. Normal) PS- aware Router Mode Selection C C /C P (Indicates magnitude of coupling noise).net,.route,.place files f max, power estimate 67

51 Methodology Assessed power savings with a combination of MCNC and VTR benchmark circuits Routed circuits at 1.3 x W MIN and W MAX W MAX is which is 1.1x largest W MIN in benchmark set Setting W = W MAX may be more realistic 69

52 Results (W = 1.3 x W MIN ) Power Reduction[%] Active Leakage Reduction Total Leakage Reduction 25% geomean active leakage reduction 30% geomean total leakage reduction 70

53 Results (W = W MAX ) Power Reduction[%] Active Leakage Reduction Total Leakage Reduction At W MAX number of routing conductors with unoccupied neighbours increases Increases active leakage reduction Total leakage redux increase less pronounced 71

54 Conclusions Interconnect is the prime culprit in FPGA power Presented three approaches to reduce power in FPGA interconnect, all which leverage unused interconnect resources: Charge recycling Coupling capacitance reduction Pulse-based signalling First two approaches target dynamic power; third approach targets leakage power. Future work: assess power benefits when multiple techniques are combined 72

55 Questions? 73

Towards PVT-Tolerant Glitch-Free Operation in FPGAs

Towards PVT-Tolerant Glitch-Free Operation in FPGAs Towards PVT-Tolerant Glitch-Free Operation in FPGAs Safeen Huda and Jason H. Anderson ECE Department, University of Toronto, Canada 24 th ACM/SIGDA International Symposium on FPGAs February 22, 2016 Motivation

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa atarina enter for Technology omputer Science & Electronics Engineering Integrated ircuits & Systems INE 5442 Lecture 16 MOS ombinational ircuits - 2 guntzel@inf.ufsc.br Pass

More information

A Dual-V DD Low Power FPGA Architecture

A Dual-V DD Low Power FPGA Architecture A Dual-V DD Low Power FPGA Architecture A. Gayasen 1, K. Lee 1, N. Vijaykrishnan 1, M. Kandemir 1, M.J. Irwin 1, and T. Tuan 2 1 Dept. of Computer Science and Engineering Pennsylvania State University

More information

Energy-Recovery CMOS Design

Energy-Recovery CMOS Design Energy-Recovery CMOS Design Jay Moon, Bill Athas * Univ of Southern California * Apple Computer, Inc. jsmoon@usc.edu / athas@apple.com March 05, 2001 UCLA EE215B jsmoon@usc.edu / athas@apple.com 1 Outline

More information

±15kV ESD-Protected, 3.0V to 5.5V, Low-Power, up to 250kbps, True RS-232 Transceiver

±15kV ESD-Protected, 3.0V to 5.5V, Low-Power, up to 250kbps, True RS-232 Transceiver 19-1949; Rev ; 1/1 ±15k ESD-Protected, 3. to 5.5, Low-Power, General Description The is a 3-powered EIA/TIA-232 and.28/.24 communications interface with low power requirements, high data-rate capabilities,

More information

Towards PVT-Tolerant Glitch-Free Operation in FPGAs

Towards PVT-Tolerant Glitch-Free Operation in FPGAs Towards PVT-Tolerant Glitch-Free Operation in FPGAs Safeen Huda and Jason Anderson Dept. of Electrical and Computer Engineering, University of Toronto Toronto, ON, Canada Email: {safeen,janders}@ece.toronto.edu

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 CPLD / FPGA CPLD Interconnection of several PLD blocks with Programmable interconnect on a single chip Logic blocks executes

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

SHOULD FPGAS ABANDON THE PASS-GATE? Charles Chiasson and Vaughn Betz

SHOULD FPGAS ABANDON THE PASS-GATE? Charles Chiasson and Vaughn Betz SHOULD FPGAS ABANDON THE PASS-GATE? Charles Chiasson and Vaughn Betz Department of Electrical and Computer Engineering University of Toronto, Toronto, ON, Canada {charlesc,vaughn}@eecg.utoronto.ca ABSTRACT

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R R 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3 [Partly adapted from Irwin and Narayanan, and Nikolic] 1 Reminders CAD assignments Please submit CAD5 by tomorrow noon CAD6 is due

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

TRENDS in technology scaling make leakage power an

TRENDS in technology scaling make leakage power an IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 3, MARCH 2006 423 Active Leakage Power Optimization for FPGAs Jason H. Anderson, Student Member, IEEE, and Farid

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

Lecture 10. Circuit Pitfalls

Lecture 10. Circuit Pitfalls Lecture 10 Circuit Pitfalls Intel Corporation jstinson@stanford.edu 1 Overview Reading Lev Signal and Power Network Integrity Chandrakasen Chapter 7 (Logic Families) and Chapter 8 (Dynamic logic) Gronowski

More information

Domino Static Gates Final Design Report

Domino Static Gates Final Design Report Domino Static Gates Final Design Report Krishna Santhanam bstract Static circuit gates are the standard circuit devices used to build the major parts of digital circuits. Dynamic gates, such as domino

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Lecture 9: Cell Design Issues

Lecture 9: Cell Design Issues Lecture 9: Cell Design Issues MAH, AEN EE271 Lecture 9 1 Overview Reading W&E 6.3 to 6.3.6 - FPGA, Gate Array, and Std Cell design W&E 5.3 - Cell design Introduction This lecture will look at some of the

More information

Combinational Logic Gates in CMOS

Combinational Logic Gates in CMOS Combinational Logic Gates in CMOS References: dapted from: Digital Integrated Circuits: Design Perspective, J. Rabaey UC Principles of CMOS VLSI Design: Systems Perspective, 2nd Ed., N. H. E. Weste and

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

Ultra Low Power VLSI Design: A Review

Ultra Low Power VLSI Design: A Review International Journal of Emerging Engineering Research and Technology Volume 4, Issue 3, March 2016, PP 11-18 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Ultra Low Power VLSI Design: A Review G.Bharathi

More information

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm EE241 - Spring 2011 dvanced Digital Integrated Circuits Lecture 20: High-Performance Logic Styles nnouncements Quiz #3 today Homework #4 posted This lecture until 4pm Reading: Chapter 8 in the owhill text

More information

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 6 Combinational CMOS Circuit and Logic Design Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Advanced Reliable Systems (ARES) Lab. Jin-Fu Li,

More information

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies Oct. 31, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R RW 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

QUICKSWITCH BASICS AND APPLICATIONS

QUICKSWITCH BASICS AND APPLICATIONS QUICKSWITCH GENERAL INFORMATION QUICKSWITCH BASICS AND APPLICATIONS INTRODUCTION The QuickSwitch family of FET switches was pioneered in 1990 to offer designers products for high-speed bus connection and

More information

Digital Microelectronic Circuits ( ) Pass Transistor Logic. Lecture 9: Presented by: Adam Teman

Digital Microelectronic Circuits ( ) Pass Transistor Logic. Lecture 9: Presented by: Adam Teman Digital Microelectronic Circuits (361-1-3021 ) Presented by: Adam Teman Lecture 9: Pass Transistor Logic 1 Motivation In the previous lectures, we learned about Standard CMOS Digital Logic design. CMOS

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

A Case Study of Nanoscale FPGA Programmable Switches with Low Power A Case Study of Nanoscale FPGA Programmable Switches with Low Power V.Elamaran 1, Har Narayan Upadhyay 2 1 Assistant Professor, Department of ECE, School of EEE SASTRA University, Tamilnadu - 613401, India

More information

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 5: Basic CMOS Inverter Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture

More information

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design Harris Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Christophe Giacomotto 1, Mandeep Singh 1, Milena Vratonjic 1, Vojin G. Oklobdzija 1 1 Advanced Computer systems Engineering Laboratory,

More information

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS SURVEY ND EVLUTION OF LOW-POWER FULL-DDER CELLS hmed Sayed and Hussain l-saad Department of Electrical & Computer Engineering University of California Davis, C, U.S.. STRCT In this paper, we survey various

More information

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies Mar 12, 2013 John Wawrzynek Spring 2013 EECS150 - Lec15-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies Feb 14, 2012 John Wawrzynek Spring 2012 EECS150 - Lec09-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

An Energy-Efficient Near/Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating

An Energy-Efficient Near/Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating An Energy-Efficient Near/Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating He Qi, Oluseyi Ayorinde, and Benton H. Calhoun Charles L. Brown Department of Electrical

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Ratioed Logic Introduction Digital IC EE141 2 Ratioed Logic design Basic concept Resistive load Depletion

More information

EE141-Spring 2007 Digital Integrated Circuits

EE141-Spring 2007 Digital Integrated Circuits EE141-Spring 2007 Digital Integrated Circuits Lecture 22 I/O, Power Distribution dders 1 nnouncements Homework 9 has been posted Due Tu. pr. 24, 5pm Project Phase 4 (Final) Report due Mo. pr. 30, noon

More information

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A new 6-T multiplexer based full-adder for low power and leakage current optimization A new 6-T multiplexer based full-adder for low power and leakage current optimization G. Ramana Murthy a), C. Senthilpari, P. Velrajkumar, and T. S. Lim Faculty of Engineering and Technology, Multimedia

More information

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers Accurate Timing and Power Characterization of Static Single-Track Full-Buffers By Rahul Rithe Department of Electronics & Electrical Communication Engineering Indian Institute of Technology Kharagpur,

More information

±15kV ESD-Protected, 460kbps, 1µA, RS-232-Compatible Transceivers in µmax

±15kV ESD-Protected, 460kbps, 1µA, RS-232-Compatible Transceivers in µmax 19-191; Rev ; 1/1 ±15kV ESD-Protected, 6kbps, 1µA, General Description The are low-power, 5V EIA/TIA- 3-compatible transceivers. All transmitter outputs and receiver inputs are protected to ±15kV using

More information

Digital Microelectronic Circuits ( ) CMOS Digital Logic. Lecture 6: Presented by: Adam Teman

Digital Microelectronic Circuits ( ) CMOS Digital Logic. Lecture 6: Presented by: Adam Teman Digital Microelectronic Circuits (361-1-3021 ) Presented by: Adam Teman Lecture 6: CMOS Digital Logic 1 Last Lectures The CMOS Inverter CMOS Capacitance Driving a Load 2 This Lecture Now that we know all

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

Optimization and Modeling of FPGA Circuitry in Advanced Process Technology. Charles Chiasson

Optimization and Modeling of FPGA Circuitry in Advanced Process Technology. Charles Chiasson Optimization and Modeling of FPGA Circuitry in Advanced Process Technology by Charles Chiasson A thesis submitted in conformity with the requirements for the degree of Master of Applied Science Graduate

More information

MTCMOS Post-Mask Performance Enhancement

MTCMOS Post-Mask Performance Enhancement JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.4, NO.4, DECEMBER, 2004 263 MTCMOS Post-Mask Performance Enhancement Kyosun Kim*, Hyo-Sig Won**, and Kwang-Ok Jeong** Abstract In this paper, we motivate

More information

Micropower Adjustable Overvoltage Protection Controllers

Micropower Adjustable Overvoltage Protection Controllers 19-1791; Rev ; 1/ Micropower Adjustable Overvoltage General Description The MAX187/MAX188 monitor up to five supply rails for an overvoltage condition and provide a latched output when any one of the five

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Combinational vs. Sequential Logic In Combinational Logic Circuit Out In Combinational Logic Circuit

More information

±15kV ESD-Protected, 1Mbps, 1µA RS-232 Transmitters in SOT23-6

±15kV ESD-Protected, 1Mbps, 1µA RS-232 Transmitters in SOT23-6 19-164; Rev 1; 3/ ±15k ESD-Protected, bps, 1 General Description The / single RS-3 transmitters in a SOT3-6 package are for space- and cost-constrained applications requiring minimal RS-3 communications.

More information

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Anjana R 1, Dr. Ajay kumar somkuwar 2 1 Asst.Prof & ECE, Laxmi Institute of Technology, Gujarat 2 Professor

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers Muhammad Nummer and Manoj Sachdev University of Waterloo, Ontario, Canada mnummer@vlsi.uwaterloo.ca, msachdev@ece.uwaterloo.ca

More information

EE 330 Lecture 44. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 44. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 44 Digital Circuits Other Logic Styles Dynamic Logic Circuits Course Evaluation Reminder - ll Electronic http://bit.ly/isustudentevals Review from Last Time Power Dissipation in Logic Circuits

More information

Managing Cross-talk Noise

Managing Cross-talk Noise Managing Cross-talk Noise Rajendran Panda Motorola Inc., Austin, TX Advanced Tools Organization Central in-house CAD tool development and support organization catering to the needs of all design teams

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code:

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code: Global Journal of researches in engineering Electrical and electronics engineering Volume 12 Issue 3 Version 1.0 March 2012 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Combinational vs. Sequential Logic In Combinational Logic Circuit Out In Combinational Logic Circuit

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

I DDQ Current Testing

I DDQ Current Testing I DDQ Current Testing Motivation Early 99 s Fabrication Line had 5 to defects per million (dpm) chips IBM wanted to get 3.4 defects per million (dpm) chips Conventional way to reduce defects: Increasing

More information

Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing

Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing Nestoras Tzartzanis and Bill Athas nestoras@isiedu, athas@isiedu http://wwwisiedu/acmos Information Sciences Institute

More information

COFFE: Fully-Automated Transistor Sizing for FPGAs

COFFE: Fully-Automated Transistor Sizing for FPGAs COFFE: Fully-Automated Transistor Sizing for FPGAs Charles Chiasson and Vaughn Betz Department of Electrical and Computer Engineering University of Toronto, Toronto, ON, Canada {charlesc,vaughn}@eecg.utoronto.ca

More information

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER Ashwini Khadke 1, Paurnima Chaudhari 2, Mayur More 3, Prof. D.S. Patil 4 1Pursuing M.Tech, Dept. of Electronics and Engineering, NMU, Maharashtra,

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

PART MAX5166NECM MAX5166MCCM MAX5166LECM MAX5166MECM OUT31 MAX5166 TQFP. Maxim Integrated Products 1

PART MAX5166NECM MAX5166MCCM MAX5166LECM MAX5166MECM OUT31 MAX5166 TQFP. Maxim Integrated Products 1 9-456; Rev ; 8/99 32-Channel Sample/Hold Amplifier General Description The MAX566 contains four -to-8 multiplexers and 32 sample/hold amplifiers. The sample/hold amplifiers are organized into four octal

More information

EE434 ASIC & Digital Systems. Partha Pande School of EECS Washington State University

EE434 ASIC & Digital Systems. Partha Pande School of EECS Washington State University EE434 ASIC & Digital Systems Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 11 Physical Design Issues Interconnect Scaling Effects Dense multilayer metal increases coupling

More information

Leakage Power Reduction by Using Sleep Methods

Leakage Power Reduction by Using Sleep Methods www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 2 Issue 9 September 2013 Page No. 2842-2847 Leakage Power Reduction by Using Sleep Methods Vinay Kumar Madasu

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

International Journal of Advanced Research in Computer Science and Software Engineering

International Journal of Advanced Research in Computer Science and Software Engineering Volume 3, Issue 8, August 2013 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com A Novel Implementation

More information

HIGH SPEED, 100V, SELF OSCILLATING 50% DUTY CYCLE, HALF-BRIDGE DRIVER

HIGH SPEED, 100V, SELF OSCILLATING 50% DUTY CYCLE, HALF-BRIDGE DRIVER Data Sheet No. 60206 HIGH SPEED, 100V, SELF OSCILLATING 50% DUTY CYCLE, HALF-BRIDGE DRIVER Features Simple primary side control solution to enable half-bridge DC-Bus Converters for 48V distributed systems

More information

Nanowire-Based Programmable Architectures

Nanowire-Based Programmable Architectures Nanowire-Based Programmable Architectures ANDR E E DEHON ACM Journal on Emerging Technologies in Computing Systems, Vol. 1, No. 2, July 2005, Pages 109 162 162 INTRODUCTION Goal : to develop nanowire-based

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

Signal integrity means clean

Signal integrity means clean CHIPS & CIRCUITS As you move into the deep sub-micron realm, you need new tools and techniques that will detect and remedy signal interference. Dr. Lynne Green, HyperLynx Division, Pads Software Inc The

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

Chapter 2 Combinational Circuits

Chapter 2 Combinational Circuits Chapter 2 Combinational Circuits SKEE2263 Digital Systems Mun im/ismahani/izam {munim@utm.my,e-izam@utm.my,ismahani@fke.utm.my} February 23, 26 Why CMOS? Most logic design today is done on CMOS circuits

More information

TC4467 TC4468 LOGIC-INPUT CMOS QUAD DRIVERS TC4467 TC4468 TC4469 GENERAL DESCRIPTION FEATURES APPLICATIONS ORDERING INFORMATION

TC4467 TC4468 LOGIC-INPUT CMOS QUAD DRIVERS TC4467 TC4468 TC4469 GENERAL DESCRIPTION FEATURES APPLICATIONS ORDERING INFORMATION TC TC LOGIC-INPUT CMOS FEATURES High Peak Output Current....A Wide Operating Range.... to V Symmetrical Rise and Fall Times... nsec Short, Equal Delay Times... nsec Latchproof! Withstands ma Inductive

More information

An energy efficient full adder cell for low voltage

An energy efficient full adder cell for low voltage An energy efficient full adder cell for low voltage Keivan Navi 1a), Mehrdad Maeen 2, and Omid Hashemipour 1 1 Faculty of Electrical and Computer Engineering of Shahid Beheshti University, GC, Tehran,

More information

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits Objectives In this lecture you will learn the following Ratioed Logic Pass Transistor Logic Dynamic Logic Circuits

More information

A Literature Survey on Low PDP Adder Circuits

A Literature Survey on Low PDP Adder Circuits Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 12, December 2015,

More information

DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE REUSE TECHNIQUE

DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE REUSE TECHNIQUE Journal of Engineering Science and Technology Vol. 12, No. 12 (2017) 3344-3357 School of Engineering, Taylor s University DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE

More information

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.5, OCTOBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.5.577 ISSN(Online) 2233-4866 Low and High Performance Level-up Shifters

More information

EE434 ASIC & Digital Systems

EE434 ASIC & Digital Systems EE434 ASIC & Digital Systems Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Lecture 4 More on CMOS Gates Ref: Textbook chapter

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information

Evaluation of Low-Leakage Design Techniques for Field Programmable Gate Arrays

Evaluation of Low-Leakage Design Techniques for Field Programmable Gate Arrays Evaluation of Low-Leakage Design Techniques for Field Programmable Gate Arrays Arifur Rahman and Vijay Polavarapuv Department of Electrical and Computer Engineering, Polytechnic University, Brooklyn, NY

More information

EE 330 Lecture 42. Other Logic Styles Digital Building Blocks

EE 330 Lecture 42. Other Logic Styles Digital Building Blocks EE 330 Lecture 42 Other Logic Styles Digital Building Blocks Logic Styles Static CMOS Complex Logic Gates Pass Transistor Logic (PTL) Pseudo NMOS Dynamic Logic Domino Zipper Static CMOS Widely used Attractive

More information

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities Memory Basics RAM: Random Access Memory historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities ROM: Read Only Memory no capabilities for

More information

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2) 1 CHAPTER 3: IMPLEMENTATION TECHNOLOGY (PART 2) Whatwillwelearninthischapter? we learn in this 2 How transistors operate and form simple switches CMOS logic gates IC technology FPGAs and other PLDs Basic

More information

ECE 497 JS Lecture - 22 Timing & Signaling

ECE 497 JS Lecture - 22 Timing & Signaling ECE 497 JS Lecture - 22 Timing & Signaling Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements - Signaling Techniques (4/27) - Signaling

More information

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks Logic Restructuring Revisited Low Power VLSI System Design Lectures 4 & 5: Logic-Level Power Optimization Prof. R. Iris ahar September 8 &, 7 Logic restructuring: hanging the topology of a logic network

More information

SCLK 4 CS 1. Maxim Integrated Products 1

SCLK 4 CS 1. Maxim Integrated Products 1 19-172; Rev ; 4/ Dual, 8-Bit, Voltage-Output General Description The contains two 8-bit, buffered, voltage-output digital-to-analog converters (DAC A and DAC B) in a small 8-pin SOT23 package. Both DAC

More information

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Low Power System-On-Chip-Design Chapter 12: Physical Libraries 1 Low Power System-On-Chip-Design Chapter 12: Physical Libraries Friedemann Wesner 2 Outline Standard Cell Libraries Modeling of Standard Cell Libraries Isolation Cells Level Shifters Memories Power Gating

More information

Digital Systems Laboratory

Digital Systems Laboratory 2012 Fall CSE140L Digital Systems Laboratory Lecture #2 by Dr. Choon Kim CSE Department, UCSD chk034@eng.ucsd.edu Lecture #2 1 Digital Technologies CPU(Central Processing Unit) GPU(Graphics Processing

More information

A Low-Power SRAM Design Using Quiet-Bitline Architecture

A Low-Power SRAM Design Using Quiet-Bitline Architecture A Low-Power SRAM Design Using uiet-bitline Architecture Shin-Pao Cheng Shi-Yu Huang Electrical Engineering Department National Tsing-Hua University, Taiwan Abstract This paper presents a low-power SRAM

More information

Multiplexer for Capacitive sensors

Multiplexer for Capacitive sensors DATASHEET Multiplexer for Capacitive sensors Multiplexer for Capacitive Sensors page 1/7 Features Very well suited for multiple-capacitance measurement Low-cost CMOS Low output impedance Rail-to-rail digital

More information

Comparative Analysis of Adiabatic Logic Techniques

Comparative Analysis of Adiabatic Logic Techniques Comparative Analysis of Adiabatic Logic Techniques Bhakti Patel Student, Department of Electronics and Telecommunication, Mumbai University Vile Parle (west), Mumbai, India ABSTRACT Power Consumption being

More information