I DDQ Current Testing

Size: px
Start display at page:

Download "I DDQ Current Testing"

Transcription

1 I DDQ Current Testing

2 Motivation Early 99 s Fabrication Line had 5 to defects per million (dpm) chips IBM wanted to get 3.4 defects per million (dpm) chips Conventional way to reduce defects: Increasing test fault coverage Increasing burn-in coverage Increase Electro-Static Damage awareness New way to reduce defects: I DDQ Testing Also useful for Failure Effect Analysis 2

3 Basic Principle of I DDQ Testing Measure I DDQ current through V ss bus 3

4 Faults Detected by I DDQ Tests 4

5 Stuck-at Faults Detected by I DDQ Tests Bridging faults with stuck-at fault behavior Bridging of a logic node to V DD or V SS These are a small percentage of the real defects. Transistor gate oxide short of KΩ to 5 KΩ το source. Also causes a stuck-at fault. Floating MOSFET gate defects Elevates I DDQ currents. Do not fully turn off transistor. 5

6 NAND Open Circuit Defect Floating gate 6

7 Floating Gate Defects Small break in logic gate inputs ( 2 Angstroms) lets wires couple by electron tunneling. Causes both delay fault and I DDQ fault. Large open results in stuck-at fault not detectable by I DDQ test. If V tn < V fn < V DD V tp then detectable by I DDQ test 7

8 Multiple I DDQ Fault Example 8

9 Capacitive Coupling of Floating Gates C pb capacitance from poly to bulk C mp overlapped metal wire to poly Floating gate voltage depends on capacitances and node voltages If nfet and pfet get enough gate voltage to turn them on, then I DDQ test detects this defect K is the transistor gain 9

10 I DDQ Current Transfer Characteristic Segura et al. 5 defective inverter chains (-5) with floating gate defects

11 Bridging Faults S S 5 Caused by absolute short (< 5 Ω) or higher R Segura et al. evaluated testing of bridges with 3 CMOS inverter chain I DDQRb tests fault when R b > 5 KΩ or R b KΩ Largest deviation when V in = 5 V bridged nodes at opposite logic values

12 S I DDQ Depends on K, R b K I DDQ (µa) R b (kω) 2

13 CMOS Transistor Stuck-Open Faults CMOS transistor stuck-open faults cause high impedance states at a logic gate output. I DDQ test can sometimes detect fault. Works in practice due to body effect. IDDQ testing does not guarantee detection, but works in practice because the floating output node is capacitively coupled to the substrate, as well. 3

14 Delay Faults Most random CMOS defects cause a timing delay fault, rather than a catastrophic failure. Many delay faults detected by I DDQ test. Many delay faults detected with few I DDQ test vectors. Late switching of logic gates keeps I DDQ elevated. Some delay faults are not detected by I DDQ test. Resistive via fault in interconnect. Increased transistor threshold voltage fault. 4

15 Leakage Faults Gate oxide shorts cause leaks between gate & source, or gate & drain. Mao and Gulati leakage fault model: Leakage path faults: f GS, f GD, f SD, f BS, f BD, f BG G = gate, S = source, D = drain, B = bulk Assume that short does not change logic values. These shorts may later develop into hard faults, which cause field failures. 5

16 Weak Faults nfet passes logic as 5 V V tn pfet passes logic as V + V tp Weak fault one device in C-switch does not turn on Causes logic value degradation in C-switch 6

17 Paths in Circuit 7

18 Transistor Stuck-Closed Faults Due to gate oxide short (GOS) k = distance of short from drain R s = short resistance I DDQ2 current results show 3 or 4 orders of magnitude elevation 8

19 Gate Oxide Short 9

20 Logic and I DDQ Testing Zones 2

21 I DDQ Testing Methods 2

22 Fault Coverage Metrics Conductance fault model (Malaiya & Su) Monitor I DDQ to detect all leakage faults Proved that stuck fault test set can be used to generate minimum leakage fault test set Short fault coverage Handles intra-gate bridges, but may not handle intergate bridges Pseudo-stuck-at fault coverage Voltage stuck-at fault coverage that represents internal transistor short fault coverage and hard stuck-at fault coverage 22

23 Fault Coverages for I DDQ Fault Models 23

24 Vector Selection with Full Scan Use voltage testing & full scan for I DDQ tests Measure I DDQ current when voltage vector set hits internal scan boundary Set all nodes, inputs & outputs in known state Stop clock & apply minimum I DDQ current vector Wait 3 ms for settling, measure I DDQ against 75 µa Limit, with µa accuracy 24

25 QUIETEST Leakage Fault Detection Sensitize leakage fault. Detection 2 transistor terminals with leakage must have opposite logic values, and be at driving strengths. Non-driving, high-impedance states won t work current cannot go through them. 25

26 Weak Fault Detection P (N) Open Elevates I DDQ from µa to 56 µa 26

27 Second Weak Fault Detection Example Not detected unless I3 = 27

28 Hierarchical Test Vector Selection Generate complete stuck-fault tests. Characterize each logic component relate input/output logic values & internal states: To leakage fault detection To weak fault sensitization/propagation Uses switch-level simulation (done only once for each component type to characterize it). Store this information in leakage & weak fault tables. Logic simulate stuck-fault tests use tables to find faults detected by each vector. No more switch-level simulation 28

29 Leakage Fault Table k = # component I/O pins n = # component transistors m = 2 k (# of input / output combinations) m x n matrix M represents the table Each logic state matrix row Entry m i j = octal leakage fault information Flags f BG f BD f BS f SD f GD f GS Sub-entry m i j = if leakage fault detected 29

30 Example Leakage Fault Table 3

31 Weak Fault Table Weak faults: Sensitized by input/output states of faulty component Propagated by either faulty component input/output states or input/output states of components driven by node with weak fault Use weak fault detection, sensitization, and propagation tables 3

32 I DDQ Test Vector Selection If vector tests one new leakage/weak fault, select it for I DDQ measurement Example circuit: 32

33 Results Logic & I DDQ Tests Time I I2 X O Time I I2 X O I DDQ measurement vectors in green Time in units 33

34 QUIETEST Results Ckt. 2 # of Tran- Sistors # of Leakage Faults % Selected Vectors.5 %.99 % Leakage Fault Coverage % 9.5 % Ckt. 2 # of Weak Faults % Selected Vectors.35 %.2 % Weak Fault Coverage 85.3 % % 34

35 Instrumentation Problems Need to measure < µa current at clock > khz. Off-chip I DDQ measurements degraded Pulse width of CMOS IC transient current Impedance loading of tester probe Current leakages in tester High noise of tester load board Much slower rate of current measurement than voltage measurement. 35

36 SEMATECH Study IBM Graphics controller chip CMOS ASIC, 66, standard cells.8 µm static CMOS,.45 µm Lines (L eff ), 4 to 5 MHz Clock, 3 metal layers, 2 clocks Full boundary scan on chip Tests: Scan flush 25 ns latch-to-latch delay test 99.7 % scan-based stuck-at faults (slow 4 ns rate) 52 % SAF coverage functional tests (manually created) 9 % transition delay fault coverage tests 96 % pseudo-stuck-at fault cov. I DDQ Tests 36

37 SEMATECH Results Test process: Wafer Test Package Test Burn-In & Retest Characterize & Failure Analysis Data for devices failing some, but not all, tests. IDDQ (5 µa limit) Scan-based Stuck-at pass fail pass fail pass pass pass 6 36 fail fail pass fail 7 8 fail pass pass fail fail Scan-based delay Functional 37

38 SEMATECH Conclusions Hard to find point differentiating good and bad devices for I DDQ & delay tests High # passed functional test, failed all others High # passed all tests, failed I DDQ > 5 µa Large # passed stuck-at and functional tests Failed delay & IDDQ tests Large # failed stuck-at & delay tests Passed I DDQ & functional tests Delay test caught delays in chips at higher Temperature burn-in chips passed at lower T. 38

39 Limitations of I DDQ Testing Sub-micron technologies have increased leakage currents. Transistor sub-threshold conduction. Harder to find I DDQ threshold separating good & bad chips. I DDQ tests work:. When average defect-induced current is greater than average good IC current. 2. Small variation in I DDQ over test sequence & between chips. Now less likely to obtain the above two conditions. 39

40 Summary I DDQ tests improve reliability, find defects causing: Delay, bridging, weak faults Chips damaged by electro-static discharge No natural breakpoint for current threshold. Get continuous distribution bimodal would be better Conclusion: now need stuck-fault, I DDQ, and delay fault testing combined. Still uncertain whether I DDQ tests will remain useful as chip feature sizes shrink further 4

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

Very Low Voltage Testing of SOI Integrated Circuits

Very Low Voltage Testing of SOI Integrated Circuits Very Low Voltage Testing of SOI Integrated Circuits Eric MacDonald Nur A.Touba IBM Microelectronics Division Computer Engineering Research Center 114 Burnet Road Dept. of Electrical and Computer Engineering

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

Leakage Current Modeling in PD SOI Circuits

Leakage Current Modeling in PD SOI Circuits Leakage Current Modeling in PD SOI Circuits Mini Nanua David Blaauw Chanhee Oh Sun MicroSystems University of Michigan Nascentric Inc. mini.nanua@sun.com blaauw@umich.edu chanhee.oh@nascentric.com Abstract

More information

[9] Tracy Larrabee. Ecient generation of test patterns using Boolean Dierence. In Proceedings

[9] Tracy Larrabee. Ecient generation of test patterns using Boolean Dierence. In Proceedings [9] Tracy Larrabee. Ecient generation of test patterns using Boolean Dierence. In Proceedings of International Test Conference, pages 795{801. IEEE, 1989. [10] Kuen-Jong Lee and Melvin A Breuer. Constraints

More information

Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits

Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits Oleg Semenov, Andrzej Pradzynski * and Manoj Sachdev Dept. of Electrical and Computer Engineering,

More information

Application Note AN-1125

Application Note AN-1125 Application Note AN- IRS(7,8,7) and IR(7,8,7) Comparison By Jason Nguyen, Fang, David New Table of Contents Page Introduction... Block Diagram... Electrical Characteristic Differences... Figures... Summary...

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

Testing Digital Systems II

Testing Digital Systems II Lecture : Introduction Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture Today s Lecture Logistics Course Outline Review from TDS I Copyright 206, M. Tahoori TDS II: Lecture 2 Lecture Logistics

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

VLSI Testing. Yield Analysis & Fault Modeling. Virendra Singh Indian Institute of Science Bangalore

VLSI Testing. Yield Analysis & Fault Modeling. Virendra Singh Indian Institute of Science Bangalore VLSI Testing Yield Analysis & Fault Modeling Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 2 VLSI Chip Yield A manufacturing

More information

Detecting Resistive Shorts for CMOS Domino Circuits

Detecting Resistive Shorts for CMOS Domino Circuits Detecting Resistive Shorts for MOS Domino ircuits Jonathan T.-Y. hang and Edward J. Mcluskey enter for Reliable omputing Stanford University Gates Hall 2 Stanford, 94305 STRT We investigate defects in

More information

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2) 1 CHAPTER 3: IMPLEMENTATION TECHNOLOGY (PART 2) Whatwillwelearninthischapter? we learn in this 2 How transistors operate and form simple switches CMOS logic gates IC technology FPGAs and other PLDs Basic

More information

A Clustering Method for i DDT -Based Testing

A Clustering Method for i DDT -Based Testing A Clustering Method for i DDT -Based Testing Ali Chehab ECE Department American University of Beirut P.O.Box 11-0236 Beirut, Lebanon chehab@aub.edu.lb Rafic Makki and Saurabh Patel ECE Department University

More information

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1 Lecture 16: Testing, Design for Testability MAH, AEN EE271 Lecture 16 1 Overview Reading W&E 7.1-7.3 - Testing Introduction Up to this place in the class we have spent all of time trying to figure out

More information

Characterization of CMOS Defects using Transient Signal Analysis

Characterization of CMOS Defects using Transient Signal Analysis Characterization of CMOS Defects using Transient Signal Analysis Abstract James F. Plusquellic 1, Donald M. Chiarulli 2 and Steven P. Levitan 1 Department of CSEE, University of Maryland, Baltimore County

More information

Test based on Built-In Current Sensors for Mixed-Signal Circuits

Test based on Built-In Current Sensors for Mixed-Signal Circuits Test based on Built-In Current Sensors for Mixed-Signal Circuits Román Mozuelos, Yolanda Lechuga, Mar Martínez and Salvador Bracho Microelectronic Engineeering Group, University of Cantabria, ETSIIT, Av.

More information

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 6 Combinational CMOS Circuit and Logic Design Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Advanced Reliable Systems (ARES) Lab. Jin-Fu Li,

More information

Metal-Oxide-Silicon (MOS) devices PMOS. n-type

Metal-Oxide-Silicon (MOS) devices PMOS. n-type Metal-Oxide-Silicon (MOS devices Principle of MOS Field Effect Transistor transistor operation Metal (poly gate on oxide between source and drain Source and drain implants of opposite type to substrate.

More information

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits In this lab, we will be looking at ac signals with MOSFET circuits and digital electronics. The experiments will be performed

More information

Basic Fabrication Steps

Basic Fabrication Steps Basic Fabrication Steps and Layout Somayyeh Koohi Department of Computer Engineering Adapted with modifications from lecture notes prepared by author Outline Fabrication steps Transistor structures Transistor

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

Design for Testability & Design for Debug

Design for Testability & Design for Debug EE-382M VLSI II Design for Testability & Design for Debug Bob Molyneaux Mark McDermott Anil Sabbavarapu EE 382M Class Notes Foil # 1 The University of Texas at Austin Agenda Why test? Scan: What is it?

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

Design cycle for MEMS

Design cycle for MEMS Design cycle for MEMS Design cycle for ICs IC Process Selection nmos CMOS BiCMOS ECL for logic for I/O and driver circuit for critical high speed parts of the system The Real Estate of a Wafer MOS Transistor

More information

Transistor-Level Fault Detection Based on Power. Gianluca Cornetta, Jordi Cortadella. Department of Computer Architecture

Transistor-Level Fault Detection Based on Power. Gianluca Cornetta, Jordi Cortadella. Department of Computer Architecture Transistor-Level Fault Detection Based on Power Consumption Gianluca Cornetta, Jordi Cortadella Department of Computer Architecture Universitat Politecnica de Catalunya 87 Barcelona, Spain E-mail:fcornetta,

More information

TC4467 TC4468 LOGIC-INPUT CMOS QUAD DRIVERS TC4467 TC4468 TC4469 GENERAL DESCRIPTION FEATURES APPLICATIONS ORDERING INFORMATION

TC4467 TC4468 LOGIC-INPUT CMOS QUAD DRIVERS TC4467 TC4468 TC4469 GENERAL DESCRIPTION FEATURES APPLICATIONS ORDERING INFORMATION TC TC LOGIC-INPUT CMOS FEATURES High Peak Output Current....A Wide Operating Range.... to V Symmetrical Rise and Fall Times... nsec Short, Equal Delay Times... nsec Latchproof! Withstands ma Inductive

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002 Overview ECE 3: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Logic and Fault Modeling Motivation Logic Modeling Model types Models at different levels of abstractions Models and definitions Fault Modeling

More information

CMOS VLSI Design (A3425)

CMOS VLSI Design (A3425) CMOS VLSI Design (A3425) Unit III Static Logic Gates Introduction A static logic gate is one that has a well defined output once the inputs are stabilized and the switching transients have decayed away.

More information

Impact of Leakage on IC Testing?

Impact of Leakage on IC Testing? Deep Sub-micron Test: High Leakage Current and Its Impact on Test; Cross-talk Noise Kaushik Roy Electrical & Computer Engineering Purdue University Impact of Leakage on IC Testing? Our Focus Higher intrinsic

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R RW 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection NMOS Transistors in Series/Parallel Connection Topic 6 CMOS Static & Dynamic Logic Gates Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Transistors can be thought

More information

Chapter 2 : Semiconductor Materials & Devices (II) Feb

Chapter 2 : Semiconductor Materials & Devices (II) Feb Chapter 2 : Semiconductor Materials & Devices (II) 1 Reference 1. SemiconductorManufacturing Technology: Michael Quirk and Julian Serda (2001) 3. Microelectronic Circuits (5/e): Sedra & Smith (2004) 4.

More information

TOP VIEW. Maxim Integrated Products 1

TOP VIEW. Maxim Integrated Products 1 19-2213; Rev 0; 10/01 Low-Jitter, Low-Noise LVDS General Description The is a low-voltage differential signaling (LVDS) repeater, which accepts a single LVDS input and duplicates the signal at a single

More information

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector Group Members Uttam Kumar Boda Rajesh Tenukuntla Mohammad M Iftakhar Srikanth Yanamanagandla 1 Table

More information

Experimental Results for Slow Speed Testing. Experimental Results for Slow Speed Testing. Chao-Wen Tseng

Experimental Results for Slow Speed Testing. Experimental Results for Slow Speed Testing. Chao-Wen Tseng enter for Reliable omputing Experimental Results for Slow Speed Testing hao-wen Tseng enter for Reliable omputing, Stanford University http://crc.stanford.edu Outline Problem Definition Introduction Test

More information

Digital Design and System Implementation. Overview of Physical Implementations

Digital Design and System Implementation. Overview of Physical Implementations Digital Design and System Implementation Overview of Physical Implementations CMOS devices CMOS transistor circuit functional behavior Basic logic gates Transmission gates Tri-state buffers Flip-flops

More information

EE 330 Lecture 44. Digital Circuits. Ring Oscillators Sequential Logic Array Logic Memory Arrays. Final: Tuesday May 2 7:30-9:30

EE 330 Lecture 44. Digital Circuits. Ring Oscillators Sequential Logic Array Logic Memory Arrays. Final: Tuesday May 2 7:30-9:30 EE 330 Lecture 44 igital Circuits Ring Oscillators Sequential Logic Array Logic Memory Arrays Final: Tuesday May 2 7:30-9:30 Review from Last Time ynamic Logic Basic ynamic Logic Gate V F A n PN Any of

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

In this experiment you will study the characteristics of a CMOS NAND gate.

In this experiment you will study the characteristics of a CMOS NAND gate. Introduction Be sure to print a copy of Experiment #12 and bring it with you to lab. There will not be any experiment copies available in the lab. Also bring graph paper (cm cm is best). Purpose In this

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

Wafer Signature Analysis of I DDQ Test Data

Wafer Signature Analysis of I DDQ Test Data Wafer Signature Analysis of I DDQ Test Data Sagar S. Sabade D. M. H. Walker Department of Computer Science Texas A&M University College Station, TX 77843-32 Phone: (979) 862-4387 Fax: (979) 847-8578 E-mail:

More information

A High Performance IDDQ Testable Cache for Scaled CMOS Technologies

A High Performance IDDQ Testable Cache for Scaled CMOS Technologies A High Performance IDDQ Testable Cache for Scaled CMOS Technologies Swarup Bhunia, Hai Li and Kaushik Roy Purdue University, 1285 EE Building, West Lafayette, IN 4796 {bhunias, hl, kaushik}@ecn.purdue.edu

More information

Low Power Design. Prof. MacDonald

Low Power Design. Prof. MacDonald Low Power Design Prof. MacDonald Power the next challenge! l High performance thermal problems power is now exceeding 100-200 watts l difficult to remove heat from system l slows down circuits - mobilities

More information

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics ECE 484 VLSI Digital Circuits Fall 2016 Lecture 02: Design Metrics Dr. George L. Engel Adapted from slides provided by Mary Jane Irwin (PSU) [Adapted from Rabaey s Digital Integrated Circuits, 2002, J.

More information

ACE5022AE Dual N-Channel Enhancement Mode MOSFET

ACE5022AE Dual N-Channel Enhancement Mode MOSFET Description The ACE5022AE is the Dual N-Channel enhancement mode power field effect transistors are produced using high cell density, DMOS trench technology. This high density process is especially tailored

More information

IR3101 Series 1.6A, 500V

IR3101 Series 1.6A, 500V Half-Bridge FredFet and Integrated Driver Features Output power FredFets in half-bridge configuration High side gate drive designed for bootstrap operation Bootstrap diode integrated into package. Lower

More information

74VHCT244ATTR OCTAL BUS BUFFER WITH 3 STATE OUTPUTS (NON INVERTED)

74VHCT244ATTR OCTAL BUS BUFFER WITH 3 STATE OUTPUTS (NON INVERTED) OCTAL BUS BUFFER WITH 3 STATE OUTPUTS (NON INVERTED) HIGH SPEED: t PD = 5.4 ns (TYP.) at V CC = 5V LOW POWER DISSIPATION: I CC = 4 µa (MAX.) at T A =25 C COMPATIBLE WITH TTL OUTPUTS: V IH = 2V (MIN.),

More information

CMOS VLSI Design (A3425)

CMOS VLSI Design (A3425) CMOS VLSI Design (A3425) Unit V Dynamic Logic Concept Circuits Contents Charge Leakage Charge Sharing The Dynamic RAM Cell Clocks and Synchronization Clocked-CMOS Clock Generation Circuits Communication

More information

IR2110 HIGH AND LOW SIDE DRIVER. Features. Product Summary. Packages. Description. Typical Connection. 500V max. V OFFSET 10-20V VOUT.

IR2110 HIGH AND LOW SIDE DRIVER. Features. Product Summary. Packages. Description. Typical Connection. 500V max. V OFFSET 10-20V VOUT. Features n Floating channel designed for bootstrap operation Fully operational to +5V Tolerant to negative transient voltage dv/dt immune n Gate drive supply range from 1 to 2V n Undervoltage lockout for

More information

Performance of a Resistance-To-Voltage Read Circuit for Sensing Magnetic Tunnel Junctions

Performance of a Resistance-To-Voltage Read Circuit for Sensing Magnetic Tunnel Junctions Performance of a Resistance-To-Voltage Read Circuit for Sensing Magnetic Tunnel Junctions Michael J. Hall Viktor Gruev Roger D. Chamberlain Michael J. Hall, Viktor Gruev, and Roger D. Chamberlain, Performance

More information

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 5: Basic CMOS Inverter Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture

More information

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Lecture 16 Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Outline Complementary metal oxide semiconductor (CMOS) Inverting circuit Properties Operating points Propagation delay Power dissipation

More information

Understanding MOSFET Data. Type of Channel N-Channel, or P-Channel. Design Supertex Family Number TO-243AA (SOT-89) Die

Understanding MOSFET Data. Type of Channel N-Channel, or P-Channel. Design Supertex Family Number TO-243AA (SOT-89) Die Understanding MOSFET Data Application Note The following outline explains how to read and use Supertex MOSFET data sheets. The approach is simple and care has been taken to avoid getting lost in a maze

More information

Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method

Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method Ms. Harshal Meharkure 1, Mr. Swapnil Gourkar 2 1 Lecturer,

More information

Iddq Testing for CMOS VLSI

Iddq Testing for CMOS VLSI Iddq Testing for CMOS VLSI Rochit Rajsuman, SENIOR MEMBER, IEEE It is little more than 15-years since the idea of Iddq testing was first proposed. Many semiconductor companies now consider Iddq testing

More information

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style International Journal of Advancements in Research & Technology, Volume 1, Issue3, August-2012 1 Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style Vishal Sharma #, Jitendra Kaushal Srivastava

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R R 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

problem grade total

problem grade total Fall 2005 6.012 Microelectronic Devices and Circuits Prof. J. A. del Alamo Name: Recitation: November 16, 2005 Quiz #2 problem grade 1 2 3 4 total General guidelines (please read carefully before starting):

More information

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012 Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Propagation Delay, Circuit Timing & Adder Design

Propagation Delay, Circuit Timing & Adder Design Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Yield, Reliability and Testing. Technical University of Lodz - Department of Microelectronics and Computer Science

Yield, Reliability and Testing. Technical University of Lodz - Department of Microelectronics and Computer Science Yield, Reliability and Testing The Progressive Trend of IC Technology Integration level Year Number of transistors DRAM integration SSI 1950s less than 10 2 MSI 1960s 10 2-10 3 LSI 1970s 10 3-10 5 4K,

More information

74LVX132TTR LOW VOLTAGE CMOS QUAD 2-INPUT SCHMITT NAND GATE WITH 5V TOLERANT INPUTS

74LVX132TTR LOW VOLTAGE CMOS QUAD 2-INPUT SCHMITT NAND GATE WITH 5V TOLERANT INPUTS LOW VOLTAGE CMOS QUAD 2-INPUT SCHMITT NAND GATE WITH 5V TOLERANT INPUTS HIGH SPEED : t PD = 5.9ns (TYP.) at V CC = 3.3V 5V TOLERANT INPUTS LOW POWER DISSIPATION: I CC = 2 µa (MAX.) at T A =25 C TYPICAL

More information

ACE2305 P-Channel Enhancement Mode MOSFET

ACE2305 P-Channel Enhancement Mode MOSFET Description The is the P-Channel logic enhancement mode power field effect transistors are produced using high cell density, DMOS trench technology. This high density process is especially tailored to

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad - 500 0 ELECTRONICS AND COMMUNICATION ENGINEERING TUTORIAL QUESTION BANK Name : VLSI Design Code : A0 Regulation : R5 Structure :

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

EE 330 Lecture 44. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 44. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 44 Digital Circuits Other Logic Styles Dynamic Logic Circuits Course Evaluation Reminder - ll Electronic http://bit.ly/isustudentevals Review from Last Time Power Dissipation in Logic Circuits

More information

Obsolete Product(s) - Obsolete Product(s)

Obsolete Product(s) - Obsolete Product(s) HIGH SPEED: f MAX = 180 MHz (TYP.) at V CC = 5V LOW POWER DISSIPATION: I CC = 4 µa (MAX.) at T A =25 C HIGH NOISE IMMUNITY: V NIH = V NIL = 28% V CC (MIN.) POWER DOWN PROTECTION ON INPUTS SYMMETRICAL OUTPUT

More information

UT54LVDS032 Quad Receiver Advanced Data Sheet

UT54LVDS032 Quad Receiver Advanced Data Sheet Standard Products UT54LVDS032 Quad Receiver Advanced Data Sheet December 22,1999 FEATURES >155.5 Mbps (77.7 MHz) switching rates +340mV differential signaling 5 V power supply Ultra low power CMOS technology

More information

Multiplexer for Capacitive sensors

Multiplexer for Capacitive sensors DATASHEET Multiplexer for Capacitive sensors Multiplexer for Capacitive Sensors page 1/7 Features Very well suited for multiple-capacitance measurement Low-cost CMOS Low output impedance Rail-to-rail digital

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

VLSI Design Verification and Test Delay Faults II CMPE 646

VLSI Design Verification and Test Delay Faults II CMPE 646 Path Counting The number of paths can be an exponential function of the # of gates. Parallel multipliers are notorious for having huge numbers of paths. It is possible to efficiently count paths in spite

More information

Introduction to VLSI ASIC Design and Technology

Introduction to VLSI ASIC Design and Technology Introduction to VLSI ASIC Design and Technology Paulo Moreira CERN - Geneva, Switzerland Paulo Moreira Introduction 1 Outline Introduction Is there a limit? Transistors CMOS building blocks Parasitics

More information

ECE/CoE 0132: FETs and Gates

ECE/CoE 0132: FETs and Gates ECE/CoE 0132: FETs and Gates Kartik Mohanram September 6, 2017 1 Physical properties of gates Over the next 2 lectures, we will discuss some of the physical characteristics of integrated circuits. We will

More information

Depletion-mode operation ( 공핍형 ): Using an input gate voltage to effectively decrease the channel size of an FET

Depletion-mode operation ( 공핍형 ): Using an input gate voltage to effectively decrease the channel size of an FET Ch. 13 MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor : I D D-mode E-mode V g The gate oxide is made of dielectric SiO 2 with e = 3.9 Depletion-mode operation ( 공핍형 ): Using an input gate voltage

More information

Power dissipation in CMOS

Power dissipation in CMOS DC Current in For V IN < V TN, N O is cut off and I DD = 0. For V TN < V IN < V DD /2, N O is saturated. For V DD /2 < V IN < V DD +V TP, P O is saturated. For V IN > V DD + V TP, P O is cut off and I

More information

74AC541B OCTAL BUS BUFFER WITH 3 STATE OUTPUTS (NON INVERTED)

74AC541B OCTAL BUS BUFFER WITH 3 STATE OUTPUTS (NON INVERTED) OCTAL BUS BUFFER WITH 3 STATE OUTPUTS (NON INVERTED) HIGH SPEED: t PD = 4ns (TYP.) at V CC = 5V LOW POWER DISSIPATION: I CC = 4µA(MAX.) at T A =25 C HIGH NOISE IMMUNITY: V NIH = V NIL = 28 % V CC (MIN.)

More information

Single Channel Protector in an SOT-23 Package ADG465

Single Channel Protector in an SOT-23 Package ADG465 a Single Channel Protector in an SOT-23 Package FEATURES Fault and Overvoltage Protection up to 40 V Signal Paths Open Circuit with Power Off Signal Path Resistance of R ON with Power On 44 V Supply Maximum

More information

Chapter 13: Introduction to Switched- Capacitor Circuits

Chapter 13: Introduction to Switched- Capacitor Circuits Chapter 13: Introduction to Switched- Capacitor Circuits 13.1 General Considerations 13.2 Sampling Switches 13.3 Switched-Capacitor Amplifiers 13.4 Switched-Capacitor Integrator 13.5 Switched-Capacitor

More information

Microelectronics, BSc course

Microelectronics, BSc course Microelectronics, BSc course MOS circuits: CMOS circuits, construction http://www.eet.bme.hu/~poppe/miel/en/14-cmos.pptx http://www.eet.bme.hu The abstraction level of our study: SYSTEM + MODULE GATE CIRCUIT

More information

74LVX05 LOW VOLTAGE CMOS HEX INVERTER (OPEN DRAIN) WITH 5V TOLERANT INPUTS

74LVX05 LOW VOLTAGE CMOS HEX INVERTER (OPEN DRAIN) WITH 5V TOLERANT INPUTS LOW VOLTAGE CMOS HEX INVERTER (OPEN DRAIN) WITH 5V TOLERANT INPUTS HIGH SPEED: t PD = 4.8ns (TYP.) at V CC = 3.3V 5V TOLERANT INPUTS INPUT VOLTAGE LEVEL: V IL =0.8V, V IH =2V at V CC =3V LOW POWER DISSIPATION:

More information

Pulse propagation for the detection of small delay defects

Pulse propagation for the detection of small delay defects Pulse propagation for the detection of small delay defects M. Favalli DI - Univ. of Ferrara C. Metra DEIS - Univ. of Bologna Abstract This paper addresses the problems related to resistive opens and bridging

More information

EUP V/12V Synchronous Buck PWM Controller DESCRIPTION FEATURES APPLICATIONS. Typical Application Circuit. 1

EUP V/12V Synchronous Buck PWM Controller DESCRIPTION FEATURES APPLICATIONS. Typical Application Circuit. 1 5V/12V Synchronous Buck PWM Controller DESCRIPTION The is a high efficiency, fixed 300kHz frequency, voltage mode, synchronous PWM controller. The device drives two low cost N-channel MOSFETs and is designed

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Low current consumption : 0.4 ma typ. Driver output current : 70 ma max. 5 MHz (cascade connection) Selectable H/L for latch and driver enable

Low current consumption : 0.4 ma typ. Driver output current : 70 ma max. 5 MHz (cascade connection) Selectable H/L for latch and driver enable The is a CMOS thermal print head driver containing a 64-bit shift register and a latch. It can be used for general purpose because H or L can be selected for the latch and the driver enable. It is ideal

More information

74ACT541TTR OCTAL BUS BUFFER WITH 3 STATE OUTPUTS (NON INVERTED)

74ACT541TTR OCTAL BUS BUFFER WITH 3 STATE OUTPUTS (NON INVERTED) OCTAL BUS BUFFER WITH 3 STATE OUTPUTS (NON INVERTED) HIGH SPEED: t PD = 4ns (TYP.) at V CC = 5V LOW POWER DISSIPATION: I CC = 4µA(MAX.) at T A =25 C COMPATIBLE WITH TTL OUTPUTS V IH = 2V (MIN.), V IL =

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University EE 224 Solid State Electronics II Lecture 3: Lattice and symmetry 1 Outline

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin The Effect of Threshold Voltages on the Soft Error Rate - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin Outline Introduction Soft Errors High Threshold ( V t ) Charge Creation Logic Attenuation

More information

INTRODUCTION TO MOS TECHNOLOGY

INTRODUCTION TO MOS TECHNOLOGY INTRODUCTION TO MOS TECHNOLOGY 1. The MOS transistor The most basic element in the design of a large scale integrated circuit is the transistor. For the processes we will discuss, the type of transistor

More information

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar Testing of Complex Digital Chips Juri Schmidt Advanced Seminar - 11.02.2013 Outline Motivation Why testing is necessary Background Chip manufacturing Yield Reasons for bad Chips Design for Testability

More information

Class-AB Low-Voltage CMOS Unity-Gain Buffers

Class-AB Low-Voltage CMOS Unity-Gain Buffers Class-AB Low-Voltage CMOS Unity-Gain Buffers Mariano Jimenez, Antonio Torralba, Ramón G. Carvajal and J. Ramírez-Angulo Abstract Class-AB circuits, which are able to deal with currents several orders of

More information

DC Electrical Characteristics of MM74HC High-Speed CMOS Logic

DC Electrical Characteristics of MM74HC High-Speed CMOS Logic DC Electrical Characteristics of MM74HC High-Speed CMOS Logic The input and output characteristics of the MM74HC high-speed CMOS logic family were conceived to meet several basic goals. These goals are

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information