Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays,

Size: px
Start display at page:

Download "Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays,"

Transcription

1 EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture 8: Gate delays, Variability Announcements Project proposals due today Title Team members ½ page ~5 references Post it on your EECS web page and send me the link Homework Due February 6 Warning: Long!

2 3 Agenda Revisit leakage Gate delays Introduction to variability 4

3 Input Slope Simulated vs. linear model Driving gate fanout Delay [ps] FanOut 5 Input slope We can model the delay as t p = 0.7*R ekv C When driving with non-step input, the rise/fall time is absorbed it into R ekv R ekv is different than one extracted straight from I-V The output delay is linearly dependent on input rise/fall time t p = 0.7RC + ηt S η = 0.7 in this example (~/6) The model is limited to a range of fanouts More accurate delay models propagate two quantities: delay and signal slope Both can be modeled either as linear or table lookups 6 3

4 Standard Cell Library Contains for each cell: Functional information: cell = a *b * c Timing information: function of input slew intrinsic delay output capacitance non-linear models used in tabular approach Physical footprint (area) Power characteristics Wire-load models - function of Block size Fan-out [from K. Keutzer] Library 7 Synopsys Delay Models Linear (CMOS) delay model 8 4

5 Example Cell Timing 9 Delay Dependency on Edge Rate 0 5

6 Transition Time Linear: Piecewise linear: Cell Characterization 6

7 Synopsys Nonlinear Delay Model Delay is a function of: 3 Synopsys Nonlinear Delay Model 4 7

8 Static Timing Analysis Combinational logic Combinational logic Combinational logic clk clk original circuit clk Combinational logic extracted block [from K. Keutzer] 5 Each Combinational Block Arrival time in 0 green A Interconnect delay in red Gate delay in blue 0 C W.0.0 B X Y.0.0 Z.5 What s the right mathematical ti object to use to represent this physical object? f [from K. Keutzer] 6 8

9 Problem formulation - Use a labeled directed graph G = <V,E> Vertices represent gates, primary inputs and primary outputs Edges represent wires Labels represent delays Now what do we do with this? 0 C.05 X 0 W A A C B B Y W X Y Z f f Z.0 [from K. Keutzer] 7 Problem formulation - Arrival Time Arrival time A(v) for a node v is time when signal arrives at node v A(X) A(Y) X Y d x z Z dy z A(Z) A( υ ) = max (A(u) + d u υ ) u FI( υ) where dυ u is delay from υ to u, FI(υ)= {X,Y}, and υ = {Z}. [from K. Keutzer] 8 9

10 Static Timing Analysis Computing critical (longest) path delay Longest path algorithm on DAG [Kirkpatrick, IBM Jo. R&D, 966] Used in most ASIC designs today Limitations False paths Simultaneous arrival times 9 False Paths 0 0

11 Signal Arrival Times NAND gate: Signal Arrival Times NAND gate:

12 Simultaneous Arrival Times NAND gate: 3 Impact of Arrival Times Delay A Up to 5% B A arrives early B arrives early 0 t A - t B 4

13 Design Variability Sources and Impact on Design Roadmap Acknowledges Variability International Technology Roadmap for Semiconductors 005 data Node year DRAM ½ pitch [nm] Total gate CD 3σ [nm] Lithography h 3σ [nm] LER 3σ [nm]

14 Sources of Variability Technology Front-end (Devices) Systematic and random variations in Ion, Ioff, C, Back-end (Interconnect) Systematic and random variations in R, C Environment Supply (IR drop, noise) Temperature 7 Temporal vs. Spatial Variability Temporal variability/correlation Within-node scaling, Electromigration, Hot-electron effect, NBTI, self-heating, temperature, t SOI history effect, supply voltage, crosstalk Spatial variability/correlation Device parameters (CD, t ox, ) Supply voltage, temperature 8 4

15 Spatial Variability Global Local Fab to fab Temperature Deployed environment Metal polishing Lot to lot Transistor I on, I off Across wafer Line-edge roughness Across reticle Dopant fluctuation After Rohrer ISSCC 06 tutorial Across chip Across block Film thickness Spatial range [m] 9 Temporal Variability Technology Environment After Rohrer ISSCC 06 tutorial Tech. node scaling Within-node scaling Electromigration NBTI Hot carrier effect Temperature Tooling changes Lot-to-lot Data stream SOI history effect Self heating Supply noise Coupling Charge Temporal range [s]

16 Systematic vs. Random Variations Systematic A systematic pattern can be traced down to lot-to-lot, wafer-to- wafer, within reticle, within die, from layout to layout, Within-die: usually spatially correlated Random Random mismatch (dopant fluctuations, line edge roughness, ) Things that are systematic, but e.g. change with a very short time constant t (for us to do anything about it). Or we don t unedrstand d it well enough to model it as systematic. Or we don t know it in advance ( How random is a coin toss? ). 3 Dealing with Systematic Variations Lin, DAC 06 tutorial 3 6

Lectures 4 and 5: Delay Modeling

Lectures 4 and 5: Delay Modeling EE241 - Spring 2005 Advanced Digital Integrated Circuits Lectures 4 and 5: Delay Modeling ISSCC 2005 Keynotes (Monday Morning) Nanoelectronics for the Ubiquitous Information Society, Daeje Chin, Minister

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available Timing Analysis Lecture 9 ECE 156A-B 1 General Timing analysis can be done right after synthesis But it can only be accurately done when layout is available Timing analysis at an early stage is not accurate

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Lecture 13: Timing revisited

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Lecture 13: Timing revisited EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 13: Timing revisited Announcements Homework 2 due today Quiz #2 on Monday Midterm project report due next Wednesday 2 1 Outline Last lecture

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University. EE 434 ASIC and Digital Systems Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries VLSI Design System Specification Functional Design RTL

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random 45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11 Process-induced Variability I: Random Random Variability Sources and Characterization Comparisons of Different MOSFET

More information

ELEC Digital Logic Circuits Fall 2015 Delay and Power

ELEC Digital Logic Circuits Fall 2015 Delay and Power ELEC - Digital Logic Circuits Fall 5 Delay and Power Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering Auburn University, Auburn, AL 36849 http://www.eng.auburn.edu/~vagrawal

More information

MODELING OF DETERMINISTIC WITHIN-DIE VARIATION IN TIMING ANALYSIS, LEAKAGE CURRENT ANALYSIS, AND DELAY FAULT DIAGNOSIS

MODELING OF DETERMINISTIC WITHIN-DIE VARIATION IN TIMING ANALYSIS, LEAKAGE CURRENT ANALYSIS, AND DELAY FAULT DIAGNOSIS MODELING OF DETERMINISTIC WITHIN-DIE VARIATION IN TIMING ANALYSIS, LEAKAGE CURRENT ANALYSIS, AND DELAY FAULT DIAGNOSIS A Thesis Presented to The Academic Faculty by Munkang Choi In Partial Fulfillment

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Lecture 01: the big picture Course objective Brief tour of IC physical design

More information

5. CMOS Gates: DC and Transient Behavior

5. CMOS Gates: DC and Transient Behavior 5. CMOS Gates: DC and Transient Behavior Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 September 18, 2017 ECE Department, University

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

EE141-Spring 2007 Digital Integrated Circuits

EE141-Spring 2007 Digital Integrated Circuits EE141-Spring 2007 Digital Integrated Circuits Lecture 22 I/O, Power Distribution dders 1 nnouncements Homework 9 has been posted Due Tu. pr. 24, 5pm Project Phase 4 (Final) Report due Mo. pr. 30, noon

More information

ECE 471/571 The CMOS Inverter Lecture-6. Gurjeet Singh

ECE 471/571 The CMOS Inverter Lecture-6. Gurjeet Singh ECE 471/571 The CMOS Inverter Lecture-6 Gurjeet Singh NMOS-to-PMOS ratio,pmos are made β times larger than NMOS Sizing Inverters for Performance Conclusions: Intrinsic delay tp0 is independent of sizing

More information

In this experiment you will study the characteristics of a CMOS NAND gate.

In this experiment you will study the characteristics of a CMOS NAND gate. Introduction Be sure to print a copy of Experiment #12 and bring it with you to lab. There will not be any experiment copies available in the lab. Also bring graph paper (cm cm is best). Purpose In this

More information

Fixing Antenna Problem by Dynamic Diode Dropping and Jumper Insertion

Fixing Antenna Problem by Dynamic Diode Dropping and Jumper Insertion Fixing Antenna Problem by Dynamic Dropping and Jumper Insertion Peter H. Chen and Sunil Malkani Chun-Mou Peng James Lin TeraLogic, Inc. International Tech. Univ. National Semi. Corp. 1240 Villa Street

More information

Process and Environmental Variation Impacts on ASIC Timing

Process and Environmental Variation Impacts on ASIC Timing Process and Environmental Variation Impacts on ASIC Timing Paul S. Zuchowski, Peter A. Habitz, Jerry D. Hayes, Jeffery H. Oppold IBM Microelectronics Division Essex Junction, Vermont 05452, USA Introduction

More information

CS250 VLSI Systems Design. Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing

CS250 VLSI Systems Design. Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing CS250 VLSI Systems Design Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing Fall 2010 Krste Asanovic, John Wawrzynek with John Lazzaro and Yunsup Lee (TA) What do Computer

More information

Practical Information

Practical Information EE241 - Spring 2010 Advanced Digital Integrated Circuits TuTh 3:30-5pm 293 Cory Practical Information Instructor: Borivoje Nikolić 550B Cory Hall, 3-9297, bora@eecs Office hours: M 10:30am-12pm Reader:

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored by the Air Force Research Laboratory (AFRL/RVSE) TPOC: Mr. Kenneth Hebert 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 25 October 2011 www.americansemi.com 2011 American Semiconductor,

More information

A Brief History of Timing

A Brief History of Timing A Brief History of Timing David Hathaway February 28, 2005 Tau 2005 February 28, 2005 Outline Snapshots from past Taus Delay modeling Timing analysis Timing integration Future challenges 2 Tau 2005 February

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

Bridging the Gap between Dreams and Nano-Scale Reality

Bridging the Gap between Dreams and Nano-Scale Reality Bridging the Gap between Dreams and Nano-Scale Reality Ban P. Wong Design Methodology, Chartered Semiconductor wongb@charteredsemi.com 28 July 2006 Outline Deficiencies in Boolean-based Design Rules in

More information

Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy

Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy 1 IC Failure Modes Affecting Reliability Via/metallization failure mechanisms Electro migration Stress migration Transistor

More information

PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO

PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO Paras Gupta 1, Pranjal Ahluwalia 2, Kanishk Sanwal 3, Peyush Pande 4 1,2,3,4 Department of Electronics

More information

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop)

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) March 2016 DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) Ron Newhart Distinguished Engineer IBM Corporation March 19, 2016 1 2016 IBM Corporation Background

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

DATASHEET CADENCE QRC EXTRACTION

DATASHEET CADENCE QRC EXTRACTION DATASHEET Cadence QRC Etraction, the industry s premier 3D fullchip parasitic etractor that is independent of design style or flow, is a fast and accurate RLCK etraction solution used during design implementation

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored in part by the Air Force Research Laboratory (AFRL/RVSE) 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 21 March 2012 This work is sponsored in part by the National Aeronautics

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

Lecture 4&5 CMOS Circuits

Lecture 4&5 CMOS Circuits Lecture 4&5 CMOS Circuits Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese566/ Worst-Case V OL 2 3 Outline Combinational Logic (Delay Analysis) Sequential Circuits

More information

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions. Introduction - Chapter 1 Evolution of IC Fabrication 1960 and 1990 integrated t circuits. it Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity

More information

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

Variability mitigation techniques in nanometer CMOS technologies. Dídac Gómez, Joan Mauricio, Diego Mateo, Francesc Moll

Variability mitigation techniques in nanometer CMOS technologies. Dídac Gómez, Joan Mauricio, Diego Mateo, Francesc Moll Variability mitigation techniques in nanometer CMOS technologies Dídac Gómez, Joan Mauricio, Diego Mateo, Francesc Moll Research framework ENIAC project MODERN (2009-2012) 27 European institutions (Industry

More information

CMOS CHARACTERIZATION, MODELING, AND CIRCUIT DESIGN IN THE PRESENCE OF RANDOM LOCAL VARIATION. Benjamin A. Millemon Sr. A thesis

CMOS CHARACTERIZATION, MODELING, AND CIRCUIT DESIGN IN THE PRESENCE OF RANDOM LOCAL VARIATION. Benjamin A. Millemon Sr. A thesis CMOS CHARACTERIZATION, MODELING, AND CIRCUIT DESIGN IN THE PRESENCE OF RANDOM LOCAL VARIATION by Benjamin A. Millemon Sr. A thesis submitted in partial fulfillment of the requirements for the degree of

More information

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies Mar 12, 2013 John Wawrzynek Spring 2013 EECS150 - Lec15-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies Feb 14, 2012 John Wawrzynek Spring 2012 EECS150 - Lec09-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 12, 2016 VLSI Design and Variation Penn ESE 570 Spring 2016 Khanna Lecture Outline! Design Methodologies " Hierarchy, Modularity,

More information

EE5324. VLSI Design II

EE5324. VLSI Design II EE5324 VLSI Design II Professor Chris H. Kim University of Minnesota Dept. of ECE www.umn.edu/~chriskim/ chriskim@umn.edu Practical Information Class webpage http://www.ece.umn.edu/class/ee5324 Instructor:

More information

ATV 2011: Computer Engineering

ATV 2011: Computer Engineering ATV 2011: Technology Trends in Computer Engineering Professor Per Larsson-Edefors ATV 2011, L1, Per Larsson-Edefors Page 1 Solid-State Devices www.cse.chalmers.se/~perla/ugrad/ SemTech/Lectures_2000.pdf

More information

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies Oct. 31, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

Statistical Static Timing Analysis Technology

Statistical Static Timing Analysis Technology Statistical Static Timing Analysis Technology V Izumi Nitta V Toshiyuki Shibuya V Katsumi Homma (Manuscript received April 9, 007) With CMOS technology scaling down to the nanometer realm, process variations

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

Lecture 17: Process Variations. Changes in characteristics of devices and wires. Caused by IC manufacturing process & wear-out (electromigration).

Lecture 17: Process Variations. Changes in characteristics of devices and wires. Caused by IC manufacturing process & wear-out (electromigration). EE24 - Spring 2005 Advanced Digital Integrated Circuits Lecture 7: Process Variations Variability Sources Physical Changes in characteristics of devices and wires. Caused by IC manufacturing process &

More information

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University EE 224 Solid State Electronics II Lecture 3: Lattice and symmetry 1 Outline

More information

The future of lithography and its impact on design

The future of lithography and its impact on design The future of lithography and its impact on design Chris Mack www.lithoguru.com 1 Outline History Lessons Moore s Law Dennard Scaling Cost Trends Is Moore s Law Over? Litho scaling? The Design Gap The

More information

Lecture Introduction

Lecture Introduction Lecture 1 6.012 Introduction 1. Overview of 6.012 Outline 2. Key conclusions of 6.012 Reading Assignment: Howe and Sodini, Chapter 1 6.012 Electronic Devices and Circuits-Fall 200 Lecture 1 1 Overview

More information

Lecture 18 SOI Design Power Distribution. Midterm project reports due tomorrow. Please post links on your project web page

Lecture 18 SOI Design Power Distribution. Midterm project reports due tomorrow. Please post links on your project web page EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 18 SOI Design Power Distribution Announcements Midterm project reports due tomorrow Please post links on your project web

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

Digital Design: An Embedded Systems Approach Using VHDL

Digital Design: An Embedded Systems Approach Using VHDL Digital Design: An Embedded Systems Approach Using Chapter 6 Implementation Fabrics Portions of this work are from the book, Digital Design: An Embedded Systems Approach Using, by Peter J. Ashenden, published

More information

Variability-Aware Circuit Performance Optimisation Through Digital Reconfiguration

Variability-Aware Circuit Performance Optimisation Through Digital Reconfiguration Variability-Aware Circuit Performance Optimisation Through Digital Reconfiguration Pedro Burmester Campos Ph.D. University of York Electronics November, 2015 2 Abstract This thesis proposes optimisation

More information

Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective

Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective S. P. Mohanty, R. Velagapudi and E. Kougianos Dept of Computer Science and Engineering University of North Texas

More information

40nm Node CMOS Platform UX8

40nm Node CMOS Platform UX8 FUKAI Toshinori, IKEDA Masahiro, TAKAHASHI Toshifumi, NATSUME Hidetaka Abstract The UX8 is the latest process from NEC Electronics. It uses the most advanced exposure technology to achieve twice the gate

More information

Digital Integrated Circuits EECS 312

Digital Integrated Circuits EECS 312 14 12 10 8 6 Fujitsu VP2000 IBM 3090S Pulsar 4 IBM 3090 IBM RY6 CDC Cyber 205 IBM 4381 IBM RY4 2 IBM 3081 Apache Fujitsu M380 IBM 370 Merced IBM 360 IBM 3033 Vacuum Pentium II(DSIP) 0 1950 1960 1970 1980

More information

CMOS Process Variations: A Critical Operation Point Hypothesis

CMOS Process Variations: A Critical Operation Point Hypothesis CMOS Process Variations: A Critical Operation Point Hypothesis Janak H. Patel Department of Electrical and Computer Engineering University of Illinois at Urbana-Champaign jhpatel@uiuc.edu Computer Systems

More information

Lecture 10. Circuit Pitfalls

Lecture 10. Circuit Pitfalls Lecture 10 Circuit Pitfalls Intel Corporation jstinson@stanford.edu 1 Overview Reading Lev Signal and Power Network Integrity Chandrakasen Chapter 7 (Logic Families) and Chapter 8 (Dynamic logic) Gronowski

More information

An Interconnect-Centric Approach to Cyclic Shifter Design

An Interconnect-Centric Approach to Cyclic Shifter Design An Interconnect-Centric Approach to Cyclic Shifter Design Haikun Zhu, Yi Zhu C.-K. Cheng Harvey Mudd College. David M. Harris Harvey Mudd College. 1 Outline Motivation Previous Work Approaches Fanout-Splitting

More information

Managing Within Budget

Managing Within Budget Overlay M E T R O L OProcess G Y Control Managing Within Budget Overlay Metrology Accuracy in a 0.18 µm Copper Dual Damascene Process Bernd Schulz and Rolf Seltmann, AMD Saxony Manufacturing GmbH, Harry

More information

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Amber Path FX is a trusted analysis solution for designers trying to close on power, performance, yield and area in 40 nanometer processes

More information

EE434 ASIC & Digital Systems. Partha Pande School of EECS Washington State University

EE434 ASIC & Digital Systems. Partha Pande School of EECS Washington State University EE434 ASIC & Digital Systems Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 11 Physical Design Issues Interconnect Scaling Effects Dense multilayer metal increases coupling

More information

ECE 471/571 Combinatorial Circuits Lecture-7. Gurjeet Singh

ECE 471/571 Combinatorial Circuits Lecture-7. Gurjeet Singh ECE 471/571 Combinatorial Circuits Lecture-7 Gurjeet Singh Propagation Delay of CMOS Gates Propagation delay of Four input NAND Gate Disadvantages of Complementary CMOS Design Increase in complexity Larger

More information

18-Mar-08. Lecture 5, Transistor matching and good layout techniques

18-Mar-08. Lecture 5, Transistor matching and good layout techniques Transistor mismatch & Layout techniques 1. Transistor mismatch its causes and how to estimate its magnitude 2. Layout techniques for good matching 3. Layout techniques to minimize parasitic effects Part

More information

Ridgetop Group, Inc.

Ridgetop Group, Inc. Ridgetop Group, Inc. Ridgetop Group Facilities in Tucson, AZ Arizona-based firm, founded in 2000, with focus on electronics for critical applications Two divisions: Semiconductor & Precision Instruments

More information

On-Chip Transistor Characterization Arrays with Digital Interfaces for Variability Characterization *

On-Chip Transistor Characterization Arrays with Digital Interfaces for Variability Characterization * On-Chip Transistor Characterization Arrays with Digital Interfaces for Variability Characterization * Simeon Realov, William McLaughlin, K. L. Shepard Department of Electrical Engineering, Columbia University

More information

Digital Design and System Implementation. Overview of Physical Implementations

Digital Design and System Implementation. Overview of Physical Implementations Digital Design and System Implementation Overview of Physical Implementations CMOS devices CMOS transistor circuit functional behavior Basic logic gates Transmission gates Tri-state buffers Flip-flops

More information

EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families

EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Homework 5 this week Lab

More information

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Jan. 28. 2011 Nobuyuki Nishiguchi Semiconductor Technology Advanced Research Center (STARC) ASP-DAC

More information

EEC 118 Lecture #12: Dynamic Logic

EEC 118 Lecture #12: Dynamic Logic EEC 118 Lecture #12: Dynamic Logic Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Outline Today: Alternative MOS Logic Styles Dynamic MOS Logic Circuits: Rabaey

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes

Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes Amir Hasanbegovic (amirh@ifi.uio.no) Nanoelectronics Group, Dept. of Informatics, University of Oslo November 5, 2010 Overview

More information

Project SUPERAID7: Stability Under Process Variability for Advanced Interconnects and Devices Beyond 7nm node

Project SUPERAID7: Stability Under Process Variability for Advanced Interconnects and Devices Beyond 7nm node Project SUPERAID7: Stability Under Process Variability for Advanced Interconnects and Devices Beyond 7nm node Juergen Lorenz Fraunhofer IISB, Erlangen, Germany PATMOS/VARI 2016 Slide 1 OUTLINE Introduction

More information

Layout and technology

Layout and technology INF4420 Layout and technology Dag T. Wisland Spring 2015 Outline CMOS technology Design rules Analog layout Mismatch Spring 2015 Layout and technology 2 Introduction As circuit designers we must carefully

More information

1 Digital EE141 Integrated Circuits 2nd Introduction

1 Digital EE141 Integrated Circuits 2nd Introduction Digital Integrated Circuits Introduction 1 What is this lecture about? Introduction to digital integrated circuits + low power circuits Issues in digital design The CMOS inverter Combinational logic structures

More information

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX Threshold voltage Vt (V) and power supply (V) 1. Introduction Status of s Technology 10 5 2 1 0.5 0.2 0.1 V dd V t t OX 50 20 10 5 2 Gate oxide thickness t OX (nm) Future VLSI chip 2005 2011 CMOS feature

More information

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

Low Power Design. Prof. MacDonald

Low Power Design. Prof. MacDonald Low Power Design Prof. MacDonald Power the next challenge! l High performance thermal problems power is now exceeding 100-200 watts l difficult to remove heat from system l slows down circuits - mobilities

More information

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics ECE 484 VLSI Digital Circuits Fall 2016 Lecture 02: Design Metrics Dr. George L. Engel Adapted from slides provided by Mary Jane Irwin (PSU) [Adapted from Rabaey s Digital Integrated Circuits, 2002, J.

More information

Davinci. Semiconductor Device Simulaion in 3D SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD

Davinci. Semiconductor Device Simulaion in 3D SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09 Study Number MA108-09 August 2009 Copyright Semico Research, 2009. All rights reserved. Reproduction in whole or part is prohibited without permission of Semico. The contents of this report represent

More information

ISSCC 2003 / SESSION 1 / PLENARY / 1.1

ISSCC 2003 / SESSION 1 / PLENARY / 1.1 ISSCC 2003 / SESSION 1 / PLENARY / 1.1 1.1 No Exponential is Forever: But Forever Can Be Delayed! Gordon E. Moore Intel Corporation Over the last fifty years, the solid-state-circuits industry has grown

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

Electrical Impact of Line-Edge Roughness on Sub-45nm Node Standard Cell

Electrical Impact of Line-Edge Roughness on Sub-45nm Node Standard Cell Electrical Impact of Line-Edge Roughness on Sub-45nm Node Standard Cell Yongchan Ban a, Savithri Sundareswaran b, Rajendran Panda b,anddavidz.pan a a Department of ECE, University of Texas, Austin, TX

More information

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems EDA Challenges for Low Power Design Anand Iyer, Cadence Design Systems Agenda Introduction ti LP techniques in detail Challenges to low power techniques Guidelines for choosing various techniques Why is

More information

Innovation to Advance Moore s Law Requires Core Technology Revolution

Innovation to Advance Moore s Law Requires Core Technology Revolution Innovation to Advance Moore s Law Requires Core Technology Revolution Klaus Schuegraf, Ph.D. Chief Technology Officer Silicon Systems Group Applied Materials UC Berkeley Seminar March 9 th, 2012 Innovation

More information

EE241 - Spring 2006 Advanced Digital Integrated Circuits. Notes. Lecture 7: Logic Families for Performance

EE241 - Spring 2006 Advanced Digital Integrated Circuits. Notes. Lecture 7: Logic Families for Performance EE241 - Spring 2006 dvanced Digital Integrated Circuits Lecture 7: Logic Families for Performance Notes Hw 1 due tomorrow Feedback on projects will be sent out by the end of the weekend Some thoughts on

More information

CMOS Test and Evaluation

CMOS Test and Evaluation CMOS Test and Evaluation Manjul Bhushan Mark B. Ketchen CMOS Test and Evaluation A Physical Perspective Manjul Bhushan OctEval Hopewell Junction, NY, USA Mark B. Ketchen OcteVue Hadley, MA, USA ISBN 978-1-4939-1348-0

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

Impact of Low-Impedance Substrate on Power Supply Integrity

Impact of Low-Impedance Substrate on Power Supply Integrity Impact of Low-Impedance Substrate on Power Supply Integrity Rajendran Panda and Savithri Sundareswaran Motorola, Austin David Blaauw University of Michigan, Ann Arbor Editor s note: Although it is tempting

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

Process Variability and the SUPERAID7 Approach

Process Variability and the SUPERAID7 Approach Process Variability and the SUPERAID7 Approach Jürgen Lorenz Fraunhofer Institut für Integrierte Systeme und Bauelementetechnologie IISB, Erlangen, Germany ESSDERC/ ESSCIRC Workshop Process Variations

More information