Trends and Challenges in VLSI Technology Scaling Towards 100nm

Size: px
Start display at page:

Download "Trends and Challenges in VLSI Technology Scaling Towards 100nm"

Transcription

1 Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation September 2001 Stefan Rusu 9/ Intel Corp. Page 1

2 Agenda VLSI Technology Trends Frequency and power trends Scaling Challenges Transistor scaling Interconnect scaling Capacitive and inductive coupling Leakage Summary Stefan Rusu 9/ Intel Corp. Page 2

3 Process Technology Evolution 1961 First Planar Integrated Circuit Two transistors 2001 Pentium 4 Processor 42 million transistors Stefan Rusu 9/ Intel Corp. Page 3

4 Moore s Law Electronics,, April 1965 Stefan Rusu 9/ Intel Corp. Page 4

5 Moore s Law - Today Number of transistors per integrated circuit doubles every two years Stefan Rusu 9/ Intel Corp. Page 5

6 SIA Technology Roadmap Characteristic Process Technology [nm] Logic Transistors [mil] Across-chip Clock Speed [MHz] Die area [sq. mm] Wiring Levels Stefan Rusu 9/ Intel Corp. Page 6

7 ITRS Roadmap Acceleration Continues FeatureSize(nm) / Year of Production ITRS 2000 Update Review December 2000 Stefan Rusu 9/ Intel Corp. Page 7

8 Processor Frequency Trend 10,000 Intel Processors Frequency Gate delays/clock 100 Pentium 4 Frequency [MHz] 1, Pentium II Pentium Pro Pentium III 10 Pentium Gate Delays / Clock 1 Frequency doubles each generation Number of gates per clock reduces by 25% Stefan Rusu 9/ Intel Corp. Page 8

9 Processor Core Vs. Bus Clock Core Clk Bus Clk DX2 486DX4 P-100 P-150 P-200 PII-300 PII-400 PIII-600 PIII-700 PIII-800 PIII-1G P4-1.5G P4-2.0G Clock Frequency (MHz) Processor Bus frequency is not keeping up with the processor core Stefan Rusu 9/ Intel Corp. Page 9

10 Processor Power Trend 100 Pentium II Pentium 4 Power (Watts) 10 Pentium Pro Pentium Pentium MMX Pentium III µ 1µ 0.8µ 0.6µ 0.35µ 0.25µ 0.18µ 0.13µ Lead processor power increases every generation Compactions provide higher performance at lower power Stefan Rusu 9/ Intel Corp. Page 10

11 Power Density Trend Watts Leakage Pwr Active Pwr Power Density 0.25µ 0.18µ 0.13µ 0.1µ Power Density (W/cm 2 ) Assumptions: 15mm die, 1.5x frequency increase per generation Stefan Rusu 9/ Intel Corp. Page 11

12 Voltage Scaling 10 Supply Voltage [V] 1 ~0.7X Scaling ~0.85X Scaling Year Stefan Rusu 9/ Intel Corp. Page 12

13 Transistor Physical Gate Length 1 0.5um 0.35um 0.25um Technology Node Micron um 130nm 0.18um 0.13um 90nm 65nm 70nm 45nm Transistor Physical Gate Length 50nm 30nm 20nm Year Source: Robert Chau, 6/2001 Stefan Rusu 9/ Intel Corp. Page 13

14 0.13µm m Process Technology 70nm Lgate NMOS transistor in production today Source: S. Tyagi, et.al., IEDM 2000 Stefan Rusu 9/ Intel Corp. Page 14

15 Transistor Physical Gate Length 1 0.5um 0.35um 0.25um Technology Node Micron um 130nm 0.18um 0.13um 90nm 65nm 70nm 45nm Transistor Physical Gate Length 50nm 30nm 20nm Year Source: Robert Chau, 6/2001 Stefan Rusu 9/ Intel Corp. Page 15

16 30nm Physical Gate Length Transistor For the 65nm technology node production 2005 Source: R. Chau, et.al., IEDM 2000 Stefan Rusu 9/ Intel Corp. Page 16

17 Transistor Physical Gate Length 1 0.5um 0.35um 0.25um Technology Node Micron um 130nm 0.18um 0.13um 90nm 65nm 70nm 45nm Transistor Physical Gate Length 50nm 30nm 20nm Year Source: R. Chau, 6/2001 Stefan Rusu 9/ Intel Corp. Page 17

18 Research Transistor with 20nm Physical Gate Length For the 45nm technology node research phase Source: R. Chau, et.al., SNW 2001 Stefan Rusu 9/ Intel Corp. Page 18

19 Oxide Thickness Scaling 5 Oxide Thickness [nm] Equivalent Oxide Thickness (Physical) T OX (Electrical) Technology Generation [um] Source: T. Ghani, VLSI Symposium, 2000 Stefan Rusu 9/ Intel Corp. Page 19

20 Atoms-Thin Gate Oxide Source: R. Chau, et.al., IEDM 2000 Stefan Rusu 9/ Intel Corp. Page 20

21 Moore s Law + 300mm Wafers = 4x advantage Moore s Law: From 0.18µm to 0.13µm = 2x output 300mm Wafers: From 200mm to 300mm = 2x output Combined output advantage: 4x output Stefan Rusu 9/ Intel Corp. Page 21

22 0.13µm m Production Ramp Six factories readying 0.13µm m production Plan to spend $7.5B on capital in 2001 Yields and volume exceeding expectations 0.13µm m products have been shipping since May Stefan Rusu 9/ Intel Corp. Page 22

23 Lithography Challenges 1 Lithography Wavelength Micron 0.1 Silicon Feature Size Year Stefan Rusu 9/ Intel Corp. Page 23

24 Extreme Ultraviolet Lithography EUV lithography uses extremely short wavelength light (20x shorter than today s lithography processes) Visible light 400 to 700 nm DUV lithography 193 and 248 nm EUV lithography 13 nm Will be used first in 2005 for critical lithography steps to produce 70 nm patterns World s First 6-inch EUV ETS Mask Stefan Rusu 9/ Intel Corp. Page 24

25 SRAM Cell Size Scaling SRAM Cell Size [sq.um] Technology Generation [um] SRAM cell size will continue to scale ~0.5x per generation Stefan Rusu 9/ Intel Corp. Page 25

26 Exploit Memory Power Efficiency 100 Power Density (W/cm 2 ) 10 Logic Memory µ 0.18µ 0.13µ 0.1µ Static memory has 10X lower active power density Lower leakage than logic Integrated L2 provides higher bandwidth and lower latency Stefan Rusu 9/ Intel Corp. Page 26

27 Example: Pentium III Processor Evolution 0.18µm m technology 256KB L2 28 million transistors 106 mm² die size 0.13µm m technology 512KB L2 44 million transistors 80 mm² die size Stefan Rusu 9/ Intel Corp. Page 27

28 Bit Line Delay Scaling Normalized delay Logic circuit delay Bit line delay (15% swing scaling) Bit line delay (constant swing) Technology generation [µm] Bit line swing limited by parameter mismatch & differential noise Cell stability degrades with Vt lowering Reducing number of rows per bitline approaching limit Stefan Rusu 9/ Intel Corp. Page 28

29 Process Fluctuations Die-to-Die Fluctuations Within-Die Fluctuations Systematic Random Resist Thickness Lens Aberrations Source: K. Bowman, et.al., ISSCC 2001 Random Placement of Dopant Atoms Stefan Rusu 9/ Intel Corp. Page 29

30 0.18µm m Al Interconnect Metal 6 Metal 5 Metal 4 Metal 3 Metal 2 Metal 1 Transistors Stefan Rusu 9/ Intel Corp. Page 30

31 Wires Are Not Scaling Well Delay [ps] Process Generation [um] Gate Al wires + SiO2 Cu wires + lowk Gate + Al wires Gate + Cu wires Source: SIA NTRS projection Stefan Rusu 9/ Intel Corp. Page 31

32 0.13µm m Cu Interconnect Metal 6 Metal 5 Metal 4 Source: S. Tyagi, et.al., IEDM 2000 Metal 3 Metal 2 Metal 1 Transistors Stefan Rusu 9/ Intel Corp. Page 32

33 7 Metal Layers Number of Metal Layers Technology Generation [um] Stefan Rusu 9/ Intel Corp. Page 33

34 Metal Aspect Ratios 2 Average Aspect Ratio Technology Generation [um] Stefan Rusu 9/ Intel Corp. Page 34

35 Interconnect RC Delay vs. Pitch 40% lower RC delay by using Cu + FSG ILD Source: S. Tyagi, et.al., IEDM 2000 Stefan Rusu 9/ Intel Corp. Page 35

36 Routing a 70nm Processor M9 M8 Super-Fat Wiring Top 2 layers Global routing 25mm M7 M6 M5 M4 M3 M2 M1 Fat Wiring 2 metal layers Cluster-level routing Semi-Global Wiring 2 metal layers Unit-level routing Local Wiring 3 metal layers Block-level routing Stefan Rusu 9/ Intel Corp. Page 36

37 Noise Sources Capacitive Coupling Due to electric field Near field effect Measures resistance to a voltage change Inductive Coupling Due to magnetic field Far field effect Measures resistance to a current change Frequency dependent Stefan Rusu 9/ Intel Corp. Page 37

38 Inductive Noise 1E+02 1E+04 Impedance (Ohms/cm) 1E+01 1E+00 ωl R 1E-01 1E-02 1E+06 1E+07 1E+8 1E+9 Impedance (Ohms/cm) 1E+03 1E+02 1E+01 R ωl 1E+00 1E+08 1E+09 1E+10 1E+11 Frequency (Hz) Frequency (Hz) PCB (FR4) Signal Trace VLSI Metal Line Inductance of VLSI metal lines is becoming important at operating frequencies above 1GHz Stefan Rusu 9/ Intel Corp. Page 38

39 Effects of Capacitive Coupling Capacitive coupling can translate into a noise problem Aggressor Victim or a delay problem Aggressor Victim Stefan Rusu 9/ Intel Corp. Page 39

40 Worst Case Input Patterns far near victim near far C-only L-only C & L cancel C & L additive! Near attackers couple mostly by capacitance! Far attackers couple mostly by inductance! Lenz s law - a change in current will generate an opposing current which resists the change! Worst-case switching pattern when near and far attackers switch anti-phase Stefan Rusu 9/ Intel Corp. Page 40

41 Inductive Noise Impact on Delay RLC delay w/ far-attackers switching up Delay RC ONLY delay RLC delay w/ far-attackers switching down Coupling Coefficient! Capacitive noise effect on delay is modeled by coupling coefficient! Inductive noise affects delay too! Inductive noise can also decrease delay Stefan Rusu 9/ Intel Corp. Page 41

42 Skin Effect in VLSI Circuits 10.0 Al [µm] Skin depth [ [µm] µm 2.6µm 0.65µm 0.8µm Cu [µm] 0.26µm Frequency [GHz] 0.21µm Edge frequency is 5-9x 5 the clock frequency Stefan Rusu 9/ Intel Corp. Page 42

43 Sub-Threshold Leakage Sub-threshold leakage increases for lower channel lengths and lower V T s Stefan Rusu 9/ Intel Corp. Page 43

44 Estimated Power of a 15mm Processor Power (Watts) µm, 2V Leakage Active 0% 0% 0% 0% 1% 1% 1% 2% 3% Power (Watts) µm, 1.4V Leakage Active 0% 0% 1% 1% 2% 3% 5% 7% 9% Temp (C) Temp (C) Power (Watts) µm, 1V 26% 1% 2% 3% 5% 8% 11% 15% 20% Leakage Active Power (Watts) % 49% 56% 0.1µm, 0.7V 33% 26% 19% 6% 9% 14% Leakage Active Temp (C) Temp (C) Stefan Rusu 9/ Intel Corp. Page 44

45 Leakage Impact Ioff (na/µm) 10,000 1, µm 0.13µm 0.18µm 0.25µm Temp (C) Design issues: Dynamic circuits may fail Design workarounds needed to guarantee burn-in functionality Test issues: IDDQ testing may become ineffective Thermal-runaway runaway problems, especially at burn-in Stefan Rusu 9/ Intel Corp. Page 45

46 Conclusion We still have not found a fundamental barrier to extending Moore s law The challenges are Power and Efficiency Focus on dissipation, delivery, density VLSI technology scaling is expected to continue for the next decade Stefan Rusu 9/ Intel Corp. Page 46

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

Microprocessor Design in the Nanoscale Era

Microprocessor Design in the Nanoscale Era Microprocessor Design in the Nanoscale Era Stefan Rusu Senior Principal Engineer Intel Corporation IEEE Fellow stefan.rusu@intel.com 2012 Stefan Intel Rusu Corporation July 2012 1 Agenda Microprocessor

More information

Introduction to VLSI ASIC Design and Technology

Introduction to VLSI ASIC Design and Technology Introduction to VLSI ASIC Design and Technology Paulo Moreira CERN - Geneva, Switzerland Paulo Moreira Introduction 1 Outline Introduction Is there a limit? Transistors CMOS building blocks Parasitics

More information

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements Sign up for Piazza if you haven t already 2 1 Assigned Reading R.H.

More information

1 Digital EE141 Integrated Circuits 2nd Introduction

1 Digital EE141 Integrated Circuits 2nd Introduction Digital Integrated Circuits Introduction 1 What is this lecture about? Introduction to digital integrated circuits + low power circuits Issues in digital design The CMOS inverter Combinational logic structures

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel Paolo A. Gargini Director Technology Strategy Intel Fellow 1 Agenda 2-year cycle Copy Exactly Conclusions 2 I see no reason

More information

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3 EE141 Fall 2005 Lecture 2 Design Metrics Admin Page Everyone should have a UNIX account on Cory! This will allow you to run HSPICE! If you do not have an account, check: http://www-inst.eecs.berkeley.edu/usr/

More information

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs 1 Outline Variations Process, supply voltage, and temperature

More information

ISSCC 2003 / SESSION 1 / PLENARY / 1.1

ISSCC 2003 / SESSION 1 / PLENARY / 1.1 ISSCC 2003 / SESSION 1 / PLENARY / 1.1 1.1 No Exponential is Forever: But Forever Can Be Delayed! Gordon E. Moore Intel Corporation Over the last fifty years, the solid-state-circuits industry has grown

More information

The future of lithography and its impact on design

The future of lithography and its impact on design The future of lithography and its impact on design Chris Mack www.lithoguru.com 1 Outline History Lessons Moore s Law Dennard Scaling Cost Trends Is Moore s Law Over? Litho scaling? The Design Gap The

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect

Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect Introduction - So far, have considered transistor-based logic in the face of technology scaling - Interconnect effects are also of concern

More information

EE5324. VLSI Design II

EE5324. VLSI Design II EE5324 VLSI Design II Professor Chris H. Kim University of Minnesota Dept. of ECE www.umn.edu/~chriskim/ chriskim@umn.edu Practical Information Class webpage http://www.ece.umn.edu/class/ee5324 Instructor:

More information

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Introduction

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Introduction Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays,

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays, EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture 8: Gate delays, Variability Announcements Project proposals due today Title Team members ½ page ~5 references Post it on your EECS web page

More information

32nm Technology and Beyond

32nm Technology and Beyond 32nm Technology and Beyond Paolo Gargini Chairman ITRS IEEE Fellow Director of Technology Strategy Intel Fellow ISS Europe 2009 P. Gargini 1 Agenda Equivalent Scaling 45nm Technology summary 32nm Technology

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation Maziar Goudarzi, Tohru Ishihara, Hiroto Yasuura System LSI Research Center Kyushu

More information

Leakage Current in Low Standby Power and High Performance Devices: Trends and Challenges

Leakage Current in Low Standby Power and High Performance Devices: Trends and Challenges Leakage Current in Low Standby Power and High Performance Devices: Trends and Challenges (Invited Paper) Geoffrey C-F Yeap Motorola Inc., DigitalDNA Laboratories, 3501 Ed Bluestein Blvd., MD: K10, Austin,

More information

CPE/EE 427, CPE 527 VLSI Design I L01: Introduction, Design Metrics. What is this course all about?

CPE/EE 427, CPE 527 VLSI Design I L01: Introduction, Design Metrics. What is this course all about? CPE/EE 427, CPE 527 VLSI Design I L01: Introduction, Design Metrics Aleksandar Milenkovic ( www.ece.uah.edu/~milenka ) www.ece.uah.edu/~milenka/cpe527-05f What is this course all about? Introduction to

More information

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics ECE 484 VLSI Digital Circuits Fall 2016 Lecture 02: Design Metrics Dr. George L. Engel Adapted from slides provided by Mary Jane Irwin (PSU) [Adapted from Rabaey s Digital Integrated Circuits, 2002, J.

More information

Design Challenges in Multi-GHz Microprocessors

Design Challenges in Multi-GHz Microprocessors Design Challenges in Multi-GHz Microprocessors Bill Herrick Director, Alpha Microprocessor Development www.compaq.com Introduction Moore s Law ( Law (the trend that the demand for IC functions and the

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing This issue of the Intel Technology Journal describes Intel's state-of-the-art

More information

Enabling Breakthroughs In Technology

Enabling Breakthroughs In Technology Enabling Breakthroughs In Technology Mike Mayberry Director of Components Research VP, Technology and Manufacturing Group Intel Corporation June 2011 Defined To be defined Enabling a Steady Technology

More information

Static Power and the Importance of Realistic Junction Temperature Analysis

Static Power and the Importance of Realistic Junction Temperature Analysis White Paper: Virtex-4 Family R WP221 (v1.0) March 23, 2005 Static Power and the Importance of Realistic Junction Temperature Analysis By: Matt Klein Total power consumption of a board or system is important;

More information

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 Transistor Scaling in the Innovation Era Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 MOSFET Scaling Device or Circuit Parameter Scaling Factor Device dimension tox, L, W

More information

Logic Technology Development, *QRE, ** TCAD Intel Corporation

Logic Technology Development, *QRE, ** TCAD Intel Corporation A 32nm Logic Technology Featuring 2nd-Generation High-k + Metal-Gate Transistors, Enhanced Channel Strain and 0.171um 2 SRAM Cell Size in a 291Mb Array S. Natarajan, M. Armstrong, M. Bost, R. Brain, M.

More information

Signal Integrity Modeling and Measurement of TSV in 3D IC

Signal Integrity Modeling and Measurement of TSV in 3D IC Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel

More information

Practical Information

Practical Information EE241 - Spring 2010 Advanced Digital Integrated Circuits TuTh 3:30-5pm 293 Cory Practical Information Instructor: Borivoje Nikolić 550B Cory Hall, 3-9297, bora@eecs Office hours: M 10:30am-12pm Reader:

More information

Low Power and High Performance Design Challenges in Future Technologies

Low Power and High Performance Design Challenges in Future Technologies Low Power and High Performance Design Challenges in Future Technologies (Invited Paper) Vivek De and Shekhar Borkar Intel Corporation Microprocessor Research Labs Hillsboro, OR 9724 ABSTRACT We discuss

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, T. Hoffmann*, K. Johnson#,

More information

Advanced Digital Design

Advanced Digital Design Advanced Digital Design Introduction & Motivation by A. Steininger and M. Delvai Vienna University of Technology Outline Challenges in Digital Design The Role of Time in the Design The Fundamental Design

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX Threshold voltage Vt (V) and power supply (V) 1. Introduction Status of s Technology 10 5 2 1 0.5 0.2 0.1 V dd V t t OX 50 20 10 5 2 Gate oxide thickness t OX (nm) Future VLSI chip 2005 2011 CMOS feature

More information

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 33-1 Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 Contents: 1. MOSFET scaling

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING Henry H. Utsunomiya Interconnection Technologies, Inc. Suwa City, Nagano Prefecture, Japan henryutsunomiya@mac.com ABSTRACT This presentation will outline

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 CPE/EE 427, CPE 527 VLSI Design I L02: Design Metrics Department of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic ( www.ece.uah.edu/~milenka ) www.ece.uah.edu/~milenka/cpe527-03f

More information

CMOS Process Variations: A Critical Operation Point Hypothesis

CMOS Process Variations: A Critical Operation Point Hypothesis CMOS Process Variations: A Critical Operation Point Hypothesis Janak H. Patel Department of Electrical and Computer Engineering University of Illinois at Urbana-Champaign jhpatel@uiuc.edu Computer Systems

More information

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

Interconnect. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

Interconnect. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. Interconnect Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Introduction Chips are mostly made of wires called

More information

MICROPROCESSOR TECHNOLOGY

MICROPROCESSOR TECHNOLOGY MICROPROCESSOR TECHNOLOGY Assis. Prof. Hossam El-Din Moustafa Lecture 3 Ch.1 The Evolution of The Microprocessor 17-Feb-15 1 Chapter Objectives Introduce the microprocessor evolution from transistors to

More information

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141 EECS 151/251A Spring 2019 Digital Design and Integrated Circuits Instructors: Wawrzynek Lecture 8 EE141 From the Bottom Up IC processing CMOS Circuits (next lecture) EE141 2 Overview of Physical Implementations

More information

04/29/03 EE371 Power Delivery D. Ayers 1. VLSI Power Delivery. David Ayers

04/29/03 EE371 Power Delivery D. Ayers 1. VLSI Power Delivery. David Ayers 04/29/03 EE371 Power Delivery D. Ayers 1 VLSI Power Delivery David Ayers 04/29/03 EE371 Power Delivery D. Ayers 2 Outline Die power delivery Die power goals Typical processor power grid Transistor power

More information

Practical Information

Practical Information EE241 - Spring 2013 Advanced Digital Integrated Circuits MW 2-3:30pm 540A/B Cory Practical Information Instructor: Borivoje Nikolić 509 Cory Hall, 3-9297, bora@eecs Office hours: M 11-12, W 3:30pm-4:30pm

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 What is this course all about? CPE/EE 427, CPE 527 VLSI Design I L0: Introduction, Design Metrics Aleksandar Milenkovic ( www.ece.uah.edu/~milenka ) www.ece.uah.edu/~milenka/cpe527-05f Introduction to

More information

Study the Analysis of Low power and High speed CMOS Logic Circuits in 90nm Technology

Study the Analysis of Low power and High speed CMOS Logic Circuits in 90nm Technology 43 Study the Analysis of Low power and High speed CMOS Logic Circuits in 90nm Technology Fazal Noorbasha 1, Ashish Verma 1 and A.M. Mahajan 2 1. Laboratory of VLSI and Embedded Systems, Deptt. Of Physics

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors Mark Bohr Intel Senior Fellow Logic Technology Development Kaizad Mistry 45 nm Program Manager Logic Technology Development

More information

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

Design Considerations for Highly Integrated 3D SiP for Mobile Applications Design Considerations for Highly Integrated 3D SiP for Mobile Applications FDIP, CA October 26, 2008 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr Contents I. Market and future direction

More information

Reliability and Energy Dissipation in Ultra Deep Submicron Designs

Reliability and Energy Dissipation in Ultra Deep Submicron Designs Reliability and Energy Dissipation in Ultra Deep Submicron Designs 5/19/2005 page 1 Reliability and Energy Dissipation in Ultra Deep Submicron Designs Frank Sill 31 th March 2005 5/19/2005 page 2 Outline

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

VLSI: An Introduction

VLSI: An Introduction Chapter 1 UEEA2223/UEEG4223 Integrated Circuit Design VLSI: An Introduction Prepared by Dr. Lim Soo King 02 Jan 2011. Chapter 1 VLSI Design: An Introduction... 1 1.0 Introduction... 1 1.0.1 Early Computing

More information

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions. Introduction - Chapter 1 Evolution of IC Fabrication 1960 and 1990 integrated t circuits. it Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity

More information

Lecture 13: Interconnects in CMOS Technology

Lecture 13: Interconnects in CMOS Technology Lecture 13: Interconnects in CMOS Technology Mark McDermott Electrical and Computer Engineering The University of Texas at Austin 10/18/18 VLSI-1 Class Notes Introduction Chips are mostly made of wires

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

LSI ON GLASS SUBSTRATES

LSI ON GLASS SUBSTRATES LSI ON GLASS SUBSTRATES OUTLINE Introduction: Why System on Glass? MOSFET Technology Low-Temperature Poly-Si TFT Technology System-on-Glass Technology Issues Conclusion System on Glass CPU SRAM DRAM EEPROM

More information

18nm FinFET. Lecture 30. Perspectives. Administrivia. Power Density. Power will be a problem. Transistor Count

18nm FinFET. Lecture 30. Perspectives. Administrivia. Power Density. Power will be a problem. Transistor Count 18nm FinFET Double-gate structure + raised source/drain Lecture 30 Perspectives Gate Silicon Fin Source BOX Gate X. Huang, et al, 1999 IEDM, p.67~70 Drain Si fin - Body! I d [ua/um] 400-1.50 V 350 300-1.25

More information

Innovation to Advance Moore s Law Requires Core Technology Revolution

Innovation to Advance Moore s Law Requires Core Technology Revolution Innovation to Advance Moore s Law Requires Core Technology Revolution Klaus Schuegraf, Ph.D. Chief Technology Officer Silicon Systems Group Applied Materials UC Berkeley Seminar March 9 th, 2012 Innovation

More information

Digital Integrated Circuits Perspectives. Administrivia

Digital Integrated Circuits Perspectives. Administrivia Lecture 30 Perspectives Administrivia Final on Friday December 14, 2001 8 am Location: 180 Tan Hall Topics all what was covered in class. Review Session - TBA Lab and hw scores to be posted on the web

More information

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Presented by Chad Smutzer Mayo Clinic Special Purpose Processor Development

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY International Journal of Knowledge Management & e-learning Volume 3 Number 1 January-June 2011 pp. 1-5 DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY K. Nagarjuna Reddy 1, K. V. Ramanaiah 2 & K. Sudheer

More information

Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller

Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller Corporate Vice President, WW RnD & Technology Strategy 1 In the Beginning ewlb 2 Fan Out Packaging Emerges Introduction of Fan Out (ewlb) Marketed

More information

1 Introduction COPYRIGHTED MATERIAL

1 Introduction COPYRIGHTED MATERIAL Introduction The scaling of semiconductor process technologies has been continuing for more than four decades. Advancements in process technologies are the fuel that has been moving the semiconductor industry.

More information

Process and Environmental Variation Impacts on ASIC Timing

Process and Environmental Variation Impacts on ASIC Timing Process and Environmental Variation Impacts on ASIC Timing Paul S. Zuchowski, Peter A. Habitz, Jerry D. Hayes, Jeffery H. Oppold IBM Microelectronics Division Essex Junction, Vermont 05452, USA Introduction

More information

I DDQ Current Testing

I DDQ Current Testing I DDQ Current Testing Motivation Early 99 s Fabrication Line had 5 to defects per million (dpm) chips IBM wanted to get 3.4 defects per million (dpm) chips Conventional way to reduce defects: Increasing

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

IFSIN. WEB PAGE Fall ://weble.upc.es/ifsin/

IFSIN. WEB PAGE   Fall ://weble.upc.es/ifsin/ IFSIN IMPLEMENTACIÓ FÍSICA DE SISTEMES INTEGRATS NANOMÈTRICS IMPLEMENTACIÓN N FÍSICA F DE SISTEMAS INTEGRADOS NANOMÉTRICOS PHYSICAL IMPLEMENTATION OF NANOMETER INTEGRATED SYSTEMS Fall 2008 Prof. Xavier

More information

FEASIBILITY OF OPTICAL CLOCK DISTRIBUTION FOR FUTURE CMOS TECHNOLOGY NODES

FEASIBILITY OF OPTICAL CLOCK DISTRIBUTION FOR FUTURE CMOS TECHNOLOGY NODES 6 Vol.11(1) March 1 FEASIBILITY OF OPTICAL CLOCK DISTRIBUTION FOR FUTURE CMOS TECHNOLOGY NODES P.J. Venter 1 and M. du Plessis 1 and Carl and Emily Fuchs Institute for Microelectronics, Dept. of Electrical,

More information

NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY

NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY S. M. SZE National Chiao Tung University Hsinchu, Taiwan And Stanford University Stanford, California ELECTRONIC AND SEMICONDUCTOR INDUSTRIES

More information

SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications

SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications Vice President, Technology Manufacturing Group Intel Corporation August 2013 Outlines

More information

Deep Submicron Interconnect. 0.18um vs. 013um Interconnect

Deep Submicron Interconnect. 0.18um vs. 013um Interconnect Deep Submicron Interconnect R. Dept. of ECE University of British Columbia res@ece.ubc.ca 0.18um vs. 013um Interconnect 0.18µm 5-layer Al Metal Process 0.13µm 8-layer Cu Metal Process 1 Interconnect Scaling

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

An Introduction to High-Frequency Circuits and Systems

An Introduction to High-Frequency Circuits and Systems An Introduction to High-Frequency Circuits and Systems 1 Outline The electromagnetic spectrum Review of market and technology trends Semiconductors industry Computers industry - signal integrity issues

More information

40nm Node CMOS Platform UX8

40nm Node CMOS Platform UX8 FUKAI Toshinori, IKEDA Masahiro, TAKAHASHI Toshifumi, NATSUME Hidetaka Abstract The UX8 is the latest process from NEC Electronics. It uses the most advanced exposure technology to achieve twice the gate

More information

6.012 Microelectronic Devices and Circuits

6.012 Microelectronic Devices and Circuits MIT, Spring 2003 6.012 Microelectronic Devices and Circuits Jesús del Alamo Dimitri Antoniadis, Judy Hoyt, Charles Sodini Pablo Acosta, Susan Luschas, Jorg Scholvin, Niamh Waldron Lecture 1 6.012 overview

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Workshop on Frontiers of Extreme Computing Santa Cruz, CA October 24, 2005 ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Peter M. Zeitzoff Outline Introduction MOSFET scaling and

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Deep Submicron Technology: Opportunity or Dead End for Dynamic Circuit Techniques

Deep Submicron Technology: Opportunity or Dead End for Dynamic Circuit Techniques Deep Submicron Technology: Opportunity or Dead End for Dynamic Circuit Techniques Claas Cornelius 1, Frank Grassert 1, Siegmar Köppe 2, Dirk Timmermann 1 1 University of Rostock, Germany 2 Infineon Technologies

More information

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2) 1 CHAPTER 3: IMPLEMENTATION TECHNOLOGY (PART 2) Whatwillwelearninthischapter? we learn in this 2 How transistors operate and form simple switches CMOS logic gates IC technology FPGAs and other PLDs Basic

More information

Pushing Ultra-Low-Power Digital Circuits

Pushing Ultra-Low-Power Digital Circuits Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era David Bol Microelectronics Laboratory Ph.D public defense December 16, 2008 Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era

More information

Intel s s Silicon Power Savings Strategy

Intel s s Silicon Power Savings Strategy Intel s s Silicon Power Savings Strategy Keeping Moore s s Law Alive and Well Paolo Gargini Intel Fellow and Director, Technology Strategy Agenda Moore s s Law and scaling The power challenge Looking ahead

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation. Variation. Process Corners.

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation. Variation. Process Corners. ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 13: October 3, 2012 Layout and Area Today Coping with Variation (from last time) Layout Transistors Gates Design rules Standard

More information

Reducing Transistor Variability For High Performance Low Power Chips

Reducing Transistor Variability For High Performance Low Power Chips Reducing Transistor Variability For High Performance Low Power Chips HOT Chips 24 Dr Robert Rogenmoser Senior Vice President Product Development & Engineering 1 HotChips 2012 Copyright 2011 SuVolta, Inc.

More information

Opportunities and Challenges in Ultra Low Voltage CMOS. Rajeevan Amirtharajah University of California, Davis

Opportunities and Challenges in Ultra Low Voltage CMOS. Rajeevan Amirtharajah University of California, Davis Opportunities and Challenges in Ultra Low Voltage CMOS Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless sensors RFID

More information

Effect of Aging on Power Integrity of Digital Integrated Circuits

Effect of Aging on Power Integrity of Digital Integrated Circuits Effect of Aging on Power Integrity of Digital Integrated Circuits A. Boyer, S. Ben Dhia Alexandre.boyer@laas.fr Sonia.bendhia@laas.fr 1 May 14 th, 2013 Introduction and context Long time operation Harsh

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing The Intel Lithography Roadmap A compiled version of all papers from this issue

More information