Practical Information

Size: px
Start display at page:

Download "Practical Information"

Transcription

1 EE241 - Spring 2010 Advanced Digital Integrated Circuits TuTh 3:30-5pm 293 Cory Practical Information Instructor: Borivoje Nikolić 550B Cory Hall, , bora@eecs Office hours: M 10:30am-12pm Reader: TBA tba@eecs Admin: Rosita Alvarez-Croft 253 Cory Hall, , rosita@eecs Class Web page 2 1

2 Class Topics This course aims to convey a knowledge of advanced concepts of circuit design for digital VLSI components in state-of-the-art the art MOS technologies. Emphasis is on the circuit design, and optimization for both high performance high speed and low power for use in applications such as microprocessors, signal and multimedia processors, communications, memory and periphery. Special attention will devoted to the most important challenges facing digital circuit designers today and in the coming decade, being the impact of scaling, deep sub-micron effects, variability, power distribution ib ti and consumption, and timing. 3 EECS141 vs. EECS241 EECS 141: Basic transistor and circuit models Basic circuit design styles First experiences with design creating a solution given a set of specifications EECS 241: Transistor models of varying accuracy Design under constraints: power-constrained, flexible, robust, Learning more advanced techniques Study the challenges facing design in the coming years Creating new solutions to challenging design problems 4 2

3 EECS141 vs. EECS241 EECS m and 90nm CMOS Unified transistor model Basic circuit design techniques Well defined design project Cadence/Hspice Focus on principles EECS241 Mostly 45nm CMOS Different models Advanced circuit techniques Open design/research project Any tool that does the job Focus on principles 5 Special Focus in Spring 2010 Current technology issues Process variations Robust design SRAM Power and performance optimization Timing 6 3

4 Class Topics Fundamentals - Technology and modeling Scaling and its limits (2 weeks) Introduction to variability: SRAM example (3 weeks) Sources of variability, modeling SRAM in scaled technologies Timing and variability-aware design (1 week) Power-performance tradeoffs in design (1 week) High-performance design (3 weeks) Domino logic Adders, multipliers Low power design (3 weeks) Timing (1 week) Timing analysis, flip-flop/latch design, clock skew, clocking strategies, selftimed design, clock generation and distribution, phase-locked loops Project presentations (1 week) 7 Class Organization 4 (+/-) assignments (20%) 4 quizzes (10%) 1 term-long design project (40%) Phase 1: Proposal (week of ISSCC) Phase 2: Study (report by week 8) Phase 3: Design (presentation and report by final week) Report and presentations, May 4 Final exam (30%) (Thursday, April 29, in-class) 8 4

5 Class Material Text: J. Rabaey, Low Power Design Essentials, Springer Available at Baseline: Digital Integrated Circuits - A Design Perspective, 2 nd ed. by J. M. Rabaey, A. Chandrakasan, B. Nikolić Other reference books: Design of High-Performance Microprocessor Circuits, edited by A. Chandrakasan, W. Bowhill, F. Fox Low-Power Electronics Design, C. Piguet, Ed. CMOS VLSI Design, 3 rd ed, N.Weste, D. Harris High-Speed CMOS Design Styles, by K. Bernstein, et al. Leakage in Nanometer CMOS Technologies, by Narendra and Chandrakasan, Ed. Digital Systems Engineering by W. Dally 9 Class Material List of background material available on web-site Selected papers will be made available on web-site Linked from IEEE Xplore and other resources Need to be on campus to access, or use library proxy, library VPN (check Class-notes on web-site No printed handouts in class! 10 5

6 Sources IEEE Journal of Solid-State Circuits (JSSC) IEEE International Solid-State Circuits Conference (ISSCC) Symposium on VLSI Circuits (VLSI) Other conferences and journals 11 Project Topics Focus this semester: Resiliency Can span from architecture to technology Immunity to variations and soft errors in logic and SRAM Variability compensation Delay monitoring Adaptive designs Power-performance tradeoffs Temperature, supply, noise measurements Or a topic of interest to you 12 6

7 Tools HSPICE You need an instructional account Predictive sub-100nm models (former BPTM) /0.13/0.09 m CMOS device models on the class web site Other tools, schematic or layout editors are optional Cadence, Synopsys, available on instructional servers More information on the web site. 13 EE241 - Spring 2010 Advanced Digital Integrated Circuits Lecture 1: Introduction Trends and Challenges in Digital Integrated Circuit Design 7

8 Suggested Reading International Technology Roadmap ( Rabaey, LPDE, Ch 1 (Introduction) Baseline: Rabaey et al, DIC Chapter 3. Chandrakasan, Bowhill, Fox, Chapter 1 Impact of physical technology on architecture (J.H. Edmondson), Chandrakasan, Bowhill, Fox, Chapter 2 CMOS scaling and issues in sub-0.25 m systems (Y. Taur) Selected papers from the web: G.E. Moore, No exponential is forever: but "Forever" can be delayed! Proc. ISSCC 03, Feb T.-C. Chen, Where CMOS is going: trendy hype vs. real technology. Proc. ISSCC 06 06, Feb S. Chou, Innovation and Integration in the Nanoelectronics Era, Proc. ISSCC 05, Feb S. Borkar, Design challenges of technology scaling, IEEE Micro, vol.19, no.4, p.23-29, July-Aug The contributions to this lecture by a number of people (J. Rabaey, S. Borkar, etc) are greatly appreciated. 15 Semiconductor Industry Revenues M. Chang, Foundry Future: Challenges in the 21 st Century, ISSCC

9 Moore s Law In 1965, Gordon Moore noted that the number of transistors t on a chip doubled d every 12 months. He made a prediction that semiconductor technology will double its effectiveness every 12 months The complexity for minimum component costs has increased at a rate of roughly a factor of two per year. Certainly over the short term, this rate can be expected to continue, if not to increase. Over the longer term, the rate of increase is a bit more uncertain, although there is no reason to believe it will not remain nearly constant for at least 10 years. That means by 1975, the number of components per integrated circuit for minimum cost will be 65,000. Gordon Moore, Cramming more Components onto Integrated Circuits, (1965). 17 Transistors Per Die Moore s Law Reduced cost is one of the big attractions of integrated electronics, and the cost advantage continues to increase as the technology evolves toward the production of larger and larger circuit functions on a single semiconductor substrate. Electronics, Volume 38, Number 8, April 19, Graph from S.Chou, ISSCC Data (Moore) Source: Intel 18 9

10 Transistors Per Die Moore s Law K 512M 1G 2G 256M 128M Itanium 2 Processor 64M 16M Itanium Processor 4M Pentium 4 Processor 1M Pentium III Processor 256K Pentium II Processor Pentium Processor 64K 16K 486 Processor 4K 386 Processor Data (Moore) Memory Microprocessor Graph from S.Chou, ISSCC 2005 Source: Intel 19 Moore s law and cost 20 10

11 Progress in Nano-Technology Millipede Spintronic device Spintronic Storage Molecular Electronics Silicon Nanowires Nanomechanics T.C. Chen, Where Si-CMOS is going: Trendy Hype vs. Real Technology, ISSCC 06 Carbon Nanotubes 21 Technology Strategy / Roadmap Plan A: Extending Si CMOS R D Plan B: Subsytem Integration R D Plan C: Post Si CMOS Options R R&D Plan Q: Quantum Computing R T.C. Chen, Where Si-CMOS is going: Trendy Hype vs. Real Technology, ISSCC 06 D 22 11

12 Technology Evolution International Technology Roadmap for Semiconductors data Year Dram ½ pitch [nm] MPU transistors/chip 550M 1100M 2200M 4400M 8800M Wiring levels High-perf. physical gate [nm] High-perf. V DD [V] Local clock [GHz] High-perf. power [W] Cost-perf. power [W] Low-power V DD [V] Low-power power [W] Acceleration in the Past Decade 24 12

13 ITRS 08 Projections 25 Printed vs. Physical Gate 10 Nominal feature size m Gate Length 250nm 180nm 0.7X every 2 years 130nm 90nm 65nm 45nm 32nm 70nm 22nm 50nm 35nm ~30nm 1000 nm Physical gate length > nominal feature size after 22nm? Source: Intel, IEDM presentations 26 13

14 Some Recent Devices In production: 45nm high-k strained Si In research: 10nm device L = 10 nm g K. Mistry, IEDM 07 Corresponds to sub-22nm node (~10 years) 27 Some Recent Devices Intel s 30nm transistor, circa 2002 Ion = 570 m/ m Ioff = 60nA/ m [B. Doyle, Intel] 28 14

15 More Recent Devices Intel s 20nm transistor, circa [B. Doyle, Intel] 29 More Recent Devices Thin-Body SOI MOSFET SOI: Silicon-on-Insulator Cheng, IEDM

16 Sub-5nm FinFET Gate Silicon Fin Source BOX Gate Drain Si fin - Body! X. Huang, et al, IEDM Lee, VLSI Technology, Major Roadblocks 1. Managing complexity How to design a 10 billion transistor chip? And what to use all these transistors for? 2. Cost of integrated circuits is increasing It takes >$10M to design a chip Mask costs are more than $3M in 45nm technology 3. The end of frequency scaling - Power as a limiting factor Dealing with leakages 4. Robustness issues Variations, SRAM, soft errors, coupling 5. The interconnect problem 32 16

17 Next Lecture Impact of technology scaling Characteristics of sub-100nm technologies 33 17

Practical Information

Practical Information EE241 - Spring 2013 Advanced Digital Integrated Circuits MW 2-3:30pm 540A/B Cory Practical Information Instructor: Borivoje Nikolić 509 Cory Hall, 3-9297, bora@eecs Office hours: M 11-12, W 3:30pm-4:30pm

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

ISSCC 2003 / SESSION 1 / PLENARY / 1.1

ISSCC 2003 / SESSION 1 / PLENARY / 1.1 ISSCC 2003 / SESSION 1 / PLENARY / 1.1 1.1 No Exponential is Forever: But Forever Can Be Delayed! Gordon E. Moore Intel Corporation Over the last fifty years, the solid-state-circuits industry has grown

More information

EE5324. VLSI Design II

EE5324. VLSI Design II EE5324 VLSI Design II Professor Chris H. Kim University of Minnesota Dept. of ECE www.umn.edu/~chriskim/ chriskim@umn.edu Practical Information Class webpage http://www.ece.umn.edu/class/ee5324 Instructor:

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

MICROPROCESSOR TECHNOLOGY

MICROPROCESSOR TECHNOLOGY MICROPROCESSOR TECHNOLOGY Assis. Prof. Hossam El-Din Moustafa Lecture 3 Ch.1 The Evolution of The Microprocessor 17-Feb-15 1 Chapter Objectives Introduce the microprocessor evolution from transistors to

More information

Integrated Circuit Technology (Course Code: EE662) Lecture 1: Introduction

Integrated Circuit Technology (Course Code: EE662) Lecture 1: Introduction Indian Institute of Technology Jodhpur, Year 2015 2016 Integrated Circuit Technology (Course Code: EE662) Lecture 1: Introduction Course Instructor: Shree Prakash Tiwari, Ph.D. Email: sptiwari@iitj.ac.in

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

EMT 251 Introduction to IC Design

EMT 251 Introduction to IC Design EMT 251 Introduction to IC Design (Pengantar Rekabentuk Litar Terkamir) Semester II 2011/2012 Introduction to IC design and Transistor Fundamental Some Keywords! Very-large-scale-integration (VLSI) is

More information

Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits

Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits Saravana Maruthamuthu, Wireless Group Infineon Technologies India Private

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 1: January 11, 2018 Introduction and Overview Where I come from! Analog VLSI Circuit Design! Convex Optimization " System Hierarchical Optimization!

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements Sign up for Piazza if you haven t already 2 1 Assigned Reading R.H.

More information

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions. Introduction - Chapter 1 Evolution of IC Fabrication 1960 and 1990 integrated t circuits. it Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity

More information

CMOS Technology for Computer Architects

CMOS Technology for Computer Architects CMOS Technology for Computer Architects Lecture 1: Introduction Iakovos Mavroidis Giorgos Passas Manolis Katevenis FORTH-ICS (University of Crete) Course Contents Implementation of high-performance digital

More information

The 3 D Tri Gate transistor is a variant of the FinFET developed at UC Berkeley, and is being used in Intel s 22nmgeneration. microprocessors.

The 3 D Tri Gate transistor is a variant of the FinFET developed at UC Berkeley, and is being used in Intel s 22nmgeneration. microprocessors. On May 4, 2011, Intel Corporation announced what it called the most radical shift in semiconductor technology in 50 years. A new 3 dimensional transistor design will enable the production of integrated

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Scaling of Semiconductor Integrated Circuits and EUV Lithography Scaling of Semiconductor Integrated Circuits and EUV Lithography ( 半導体集積回路の微細化と EUV リソグラフィー ) December 13, 2016 EIDEC (Emerging nano process Infrastructure Development Center, Inc.) Hidemi Ishiuchi 1 OUTLINE

More information

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Muralidharan Venkatasubramanian Auburn University vmn0001@auburn.edu Vishwani D. Agrawal Auburn University vagrawal@eng.auburn.edu

More information

Opportunities and Challenges for Nanoelectronic Devices and Processes

Opportunities and Challenges for Nanoelectronic Devices and Processes The Sixth U.S.-Korea Forum on Nanotechnology, April 28-29, 2009, Las Vegas, NV Opportunities and Challenges for Nanoelectronic Devices and Processes Yoshio Nishi Professor, Electrical Engineering, Material

More information

Enabling Breakthroughs In Technology

Enabling Breakthroughs In Technology Enabling Breakthroughs In Technology Mike Mayberry Director of Components Research VP, Technology and Manufacturing Group Intel Corporation June 2011 Defined To be defined Enabling a Steady Technology

More information

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141 EECS 151/251A Spring 2019 Digital Design and Integrated Circuits Instructors: Wawrzynek Lecture 8 EE141 From the Bottom Up IC processing CMOS Circuits (next lecture) EE141 2 Overview of Physical Implementations

More information

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE RESEARCH ARTICLE OPEN ACCESS Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19)

More information

EE 330 Fall Sheng-Huang (Alex) Lee and Dan Congreve

EE 330 Fall Sheng-Huang (Alex) Lee and Dan Congreve EE 330 Fall 2009 Integrated Electronics Lecture Instructor: Lab Instructors: Web Site: Lecture: MWF 9:00 Randy Geiger 2133 Coover rlgeiger@iastate.edu 294-7745 Sheng-Huang (Alex) Lee and Dan Congreve http://class.ece.iastate.edu/ee330/

More information

Sustaining the Si Revolution: From 3D Transistors to 3D Integration

Sustaining the Si Revolution: From 3D Transistors to 3D Integration Sustaining the Si Revolution: From 3D Transistors to 3D Integration Tsu Jae King Liu Department of Electrical Engineering and Computer Sciences University of California, Berkeley, CA USA February 23, 2015

More information

Preface Preface Jan M. Rabaey Slide 0.1

Preface Preface Jan M. Rabaey Slide 0.1 Preface Jan M. Rabaey Goals of This Book Provide an educational perspective on low-power desgn for digital integrated circuits Promote a structured design methodology for low power/energy design Traverse

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

The future of lithography and its impact on design

The future of lithography and its impact on design The future of lithography and its impact on design Chris Mack www.lithoguru.com 1 Outline History Lessons Moore s Law Dennard Scaling Cost Trends Is Moore s Law Over? Litho scaling? The Design Gap The

More information

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University Low-Power VLSI Seong-Ook Jung 2011. 5. 6. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical l & Electronic Engineering i Contents 1. Introduction 2. Power classification 3. Power

More information

Pushing Ultra-Low-Power Digital Circuits

Pushing Ultra-Low-Power Digital Circuits Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era David Bol Microelectronics Laboratory Ph.D public defense December 16, 2008 Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era

More information

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY International Journal of Knowledge Management & e-learning Volume 3 Number 1 January-June 2011 pp. 1-5 DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY K. Nagarjuna Reddy 1, K. V. Ramanaiah 2 & K. Sudheer

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 33-1 Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 Contents: 1. MOSFET scaling

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information

6.012 Microelectronic Devices and Circuits

6.012 Microelectronic Devices and Circuits MIT, Spring 2009 6.012 Microelectronic Devices and Circuits Charles G. Sodini Jing Kong Shaya Famini, Stephanie Hsu, Ming Tang Lecture 1 6.012 Overview Contents: Overview of 6.012 Reading Assignment: Howe

More information

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 1 M.Tech Student, Amity School of Engineering & Technology, India 2 Assistant Professor, Amity School of Engineering

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

Systems with Digital Integrated Circuits

Systems with Digital Integrated Circuits Systems with Digital Integrated Circuits Introduction Sorin Hintea Basis of Electronics Departament Commutative logic The operation of digital circuits is based on the use of switches capable of going

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated Objectives History and road map of integrated circuits Application specific integrated circuits Design flow and tasks Electric design automation tools ASIC project MSDAP In 1951 William Shockley developed

More information

Effect of W/L Ratio on SRAM Cell SNM for High-Speed Application

Effect of W/L Ratio on SRAM Cell SNM for High-Speed Application Effect of W/L Ratio on SRAM Cell SNM for High-Speed Application Akhilesh Goyal 1, Abhishek Tomar 2, Aman Goyal 3 1PG Scholar, Department Of Electronics and communication, SRCEM Banmore, Gwalior, India

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Introduction

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Introduction Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

18nm FinFET. Lecture 30. Perspectives. Administrivia. Power Density. Power will be a problem. Transistor Count

18nm FinFET. Lecture 30. Perspectives. Administrivia. Power Density. Power will be a problem. Transistor Count 18nm FinFET Double-gate structure + raised source/drain Lecture 30 Perspectives Gate Silicon Fin Source BOX Gate X. Huang, et al, 1999 IEDM, p.67~70 Drain Si fin - Body! I d [ua/um] 400-1.50 V 350 300-1.25

More information

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Behnam Amelifard Department of EE-Systems University of Southern California Los Angeles, CA (213)

More information

Effect of Device Scaling for Low Power Environment. Vijay Kumar Sharma

Effect of Device Scaling for Low Power Environment. Vijay Kumar Sharma Effect of Device Scaling for Low Power Environment Vijay Kumar Sharma Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, U.P. (India) vijay.buland@gmail.com

More information

A Review of Low-Power and High-Density System LSI

A Review of Low-Power and High-Density System LSI MEMOIRS OF SHONAN INSTITUTE OF TECHNOLOGY Vol. 41, No. 1, 2007 LSI * A Review of Low-Power and High-Density System LSI Shigeyoshi WATANABE* Low-power design of system LSI in the presence of leakage current

More information

DESIGN & OPTIMIZATION OF FINFET BASED DOMINO LOGIC CIRCUIT Akshay Angaria 1 *, Umesh Dutta 2, Sneha Arora 3 1,3

DESIGN & OPTIMIZATION OF FINFET BASED DOMINO LOGIC CIRCUIT Akshay Angaria 1 *, Umesh Dutta 2, Sneha Arora 3 1,3 DESIGN & OPTIMIZATION OF FINFET BASED DOMINO LOGIC CIRCUIT Akshay Angaria 1 *, Umesh Dutta 2, Sneha Arora 3 1,3 M.tech Scholar VLSI Design & Embedded System, 2 Assistant Professor & Deputy Director MRIIC,

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

Power Efficiency of Half Adder Design using MTCMOS Technique in 35 Nanometre Regime

Power Efficiency of Half Adder Design using MTCMOS Technique in 35 Nanometre Regime IJIRST International Journal for Innovative Research in Science & Technology Volume 1 Issue 12 May 2015 ISSN (online): 2349-6010 Power Efficiency of Half Adder Design using MTCMOS Technique in 35 Nanometre

More information

Lecture 8. MOS Transistors; Cheap Computers; Everycircuit

Lecture 8. MOS Transistors; Cheap Computers; Everycircuit Lecture 8 MOS Transistors; Cheap Computers; Everycircuit Copyright 2017 by Mark Horowitz 1 Reading The rest of Chapter 4 in the reader For more details look at A&L 5.1 Digital Signals (goes in much more

More information

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3 EE141 Fall 2005 Lecture 2 Design Metrics Admin Page Everyone should have a UNIX account on Cory! This will allow you to run HSPICE! If you do not have an account, check: http://www-inst.eecs.berkeley.edu/usr/

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/6/2007 MOSFETs Lecture 6 BJTs- Lecture 1 Reading Assignment: Chapter 10 More Scalable Device Structures Vertical Scaling is important. For example,

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

Lecture 27 ANNOUNCEMENTS. Regular office hours will end on Monday 12/10 Special office hours will be posted on the EE105 website

Lecture 27 ANNOUNCEMENTS. Regular office hours will end on Monday 12/10 Special office hours will be posted on the EE105 website Lecture 27 ANNOUNCEMENTS Regular office hours will end on Monday 12/10 Special office hours will be posted on the EE105 website Final Exam Review Session: Friday 12/14, 3PM, HP Auditorium Video will be

More information

NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY

NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY S. M. SZE National Chiao Tung University Hsinchu, Taiwan And Stanford University Stanford, California ELECTRONIC AND SEMICONDUCTOR INDUSTRIES

More information

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic M.Manikandan 2,Rajasri 2,A.Bharathi 3 Assistant Professor, IFET College of Engineering, Villupuram, india 1 M.E,

More information

Silicon VLSI Technology. Fundamentals, Practice and Modeling. Class Notes For Instructors. J. D. Plummer, M. D. Deal and P. B.

Silicon VLSI Technology. Fundamentals, Practice and Modeling. Class Notes For Instructors. J. D. Plummer, M. D. Deal and P. B. Silicon VLSI Technology Fundamentals, ractice, and Modeling Class otes For Instructors J. D. lummer, M. D. Deal and. B. Griffin These notes are intended to be used for lectures based on the above text.

More information

Lecture 1 Introduction to Solid State Electronics

Lecture 1 Introduction to Solid State Electronics EE 471: Transport Phenomena in Solid State Devices Spring 2018 Lecture 1 Introduction to Solid State Electronics Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology

More information

The Transistor. Survey: What is Moore s Law? Survey: What is Moore s Law? Technology Unit Overview. Technology Generations

The Transistor. Survey: What is Moore s Law? Survey: What is Moore s Law? Technology Unit Overview. Technology Generations CSE 560 Computer Systems Architecture Technology Survey: What is Moore s Law? What does Moore s Law state? A. The length of a transistor halves every 2 years. B. The number of transistors on a chip will

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

An Overview of Static Power Dissipation

An Overview of Static Power Dissipation An Overview of Static Power Dissipation Jayanth Srinivasan 1 Introduction Power consumption is an increasingly important issue in general purpose processors, particularly in the mobile computing segment.

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

ISSN:

ISSN: 1061 Area Leakage Power and delay Optimization BY Switched High V TH Logic UDAY PANWAR 1, KAVITA KHARE 2 12 Department of Electronics and Communication Engineering, MANIT, Bhopal 1 panwaruday1@gmail.com,

More information

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Workshop on Frontiers of Extreme Computing Santa Cruz, CA October 24, 2005 ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Peter M. Zeitzoff Outline Introduction MOSFET scaling and

More information

EE 434 Lecture 2. Basic Concepts

EE 434 Lecture 2. Basic Concepts EE 434 Lecture 2 Basic Concepts Review from Last Time Semiconductor Industry is One of the Largest Sectors in the World Economy and Growing All Initiatives Driven by Economic Opportunities and Limitations

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

Embedded System Design and Synthesis. Transition. Evolution of computation. Two major sources of changing problems. Impact of scaling on delay

Embedded System Design and Synthesis. Transition. Evolution of computation. Two major sources of changing problems. Impact of scaling on delay Transition http://robertdick.org/esds/ Office: EECS 2417-E Department of Electrical Engineering and Computer Science University of Michigan Classes will transition from covering background on embedded

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

Kenneth R. Laker, University of Pennsylvania, updated 20Jan15

Kenneth R. Laker, University of Pennsylvania, updated 20Jan15 http://www.seas.upenn.edu/~ese570/ 1 TOPICS The Course Industry Trends Digital CMOS Basics Some VLSI Fundamentals Illustrative Design Example 2 1. Apply principles of hierarchical digital CMOS VLSI, from

More information

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 Transistor Scaling in the Innovation Era Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 MOSFET Scaling Device or Circuit Parameter Scaling Factor Device dimension tox, L, W

More information

LOW-POWER design is one of the most critical issues

LOW-POWER design is one of the most critical issues 176 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 2, FEBRUARY 2007 A Novel Low-Power Logic Circuit Design Scheme Janusz A. Starzyk, Senior Member, IEEE, and Haibo He, Member,

More information

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture Overview 1 Trends in Microprocessor Architecture R05 Robert Mullins Computer architecture Scaling performance and CMOS Where have performance gains come from? Modern superscalar processors The limits of

More information

Future Challenges and Needs for Nano- Electronics from Manufacturing View Point

Future Challenges and Needs for Nano- Electronics from Manufacturing View Point First International Symposium on Nano-manufacturing, April 24-26, 2003 Future Challenges and Needs for Nano- Electronics from Manufacturing View Point Yoshio Nishi Stanford Nanofabrication Facility Department

More information

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3 [Partly adapted from Irwin and Narayanan, and Nikolic] 1 Reminders CAD assignments Please submit CAD5 by tomorrow noon CAD6 is due

More information

RELIABILITY ANALYSIS OF DYNAMIC LOGIC CIRCUITS UNDER TRANSISTOR AGING EFFECTS IN NANOTECHNOLOGY

RELIABILITY ANALYSIS OF DYNAMIC LOGIC CIRCUITS UNDER TRANSISTOR AGING EFFECTS IN NANOTECHNOLOGY RELIABILITY ANALYSIS OF DYNAMIC LOGIC CIRCUITS UNDER TRANSISTOR AGING EFFECTS IN NANOTECHNOLOGY A thesis work submitted to the faculty of San Francisco State University In partial fulfillment of The Requirements

More information

Digital Integrated Circuits Perspectives. Administrivia

Digital Integrated Circuits Perspectives. Administrivia Lecture 30 Perspectives Administrivia Final on Friday December 14, 2001 8 am Location: 180 Tan Hall Topics all what was covered in class. Review Session - TBA Lab and hw scores to be posted on the web

More information

IFSIN. WEB PAGE Fall ://weble.upc.es/ifsin/

IFSIN. WEB PAGE   Fall ://weble.upc.es/ifsin/ IFSIN IMPLEMENTACIÓ FÍSICA DE SISTEMES INTEGRATS NANOMÈTRICS IMPLEMENTACIÓN N FÍSICA F DE SISTEMAS INTEGRADOS NANOMÉTRICOS PHYSICAL IMPLEMENTATION OF NANOMETER INTEGRATED SYSTEMS Fall 2008 Prof. Xavier

More information

DIGITAL INTEGRATED CIRCUITS FALL 2003 ANALYSIS AND DESIGN OF DIGITAL INTEGRATED CIRCUITS (18-322) COURSE SYLLABUS

DIGITAL INTEGRATED CIRCUITS FALL 2003 ANALYSIS AND DESIGN OF DIGITAL INTEGRATED CIRCUITS (18-322) COURSE SYLLABUS ANALYSIS AND DESIGN OF DIGITAL INTEGRATED CIRCUITS (18-322) COURSE SYLLABUS Prof. Herman Schmit HH 2108; x 86470 herman@ece.cmu.edu Prof. Andrzej J. Strojwas HH 2106; X 83530 ajs@ece.cmu.edu 1 I. PURPOSE

More information

Nanoelectronics and the Future of Microelectronics

Nanoelectronics and the Future of Microelectronics Nanoelectronics and the Future of Microelectronics Mark Lundstrom Electrical and Computer Engineering University, West Lafayette, IN August 22, 2002 1. Introduction 2. Challenges in Silicon Technology

More information

L15: VLSI Integration and Performance Transformations

L15: VLSI Integration and Performance Transformations L15: VLSI Integration and Performance Transformations Average Cost of one transistor Acknowledgement: 10 1 0.1 0.01 0.001 0.0001 0.00001 $ 0.000001 Gordon Moore, Keynote Presentation at ISSCC 2003 0.0000001

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Quantum-effect Resonant Tunneling Device Technology for Practical Ultra Low-power High-speed Applications

Quantum-effect Resonant Tunneling Device Technology for Practical Ultra Low-power High-speed Applications Quantum-effect Resonant Tunneling Device Technology for Practical Ultra Low-power High-speed Applications SEMATECH Symposium October 23 rd, 2012 Prof. Kyounghoon Yang High Speed Nanoelectronics Laboratory

More information

VLSI: An Introduction

VLSI: An Introduction Chapter 1 UEEA2223/UEEG4223 Integrated Circuit Design VLSI: An Introduction Prepared by Dr. Lim Soo King 02 Jan 2011. Chapter 1 VLSI Design: An Introduction... 1 1.0 Introduction... 1 1.0.1 Early Computing

More information

LEAKAGE IN NANOMETER CMOS TECHNOLOGIES

LEAKAGE IN NANOMETER CMOS TECHNOLOGIES LEAKAGE IN NANOMETER CMOS TECHNOLOGIES SERIES ON INTEGRATED CIRCUITS AND SYSTEMS Anantha Chandrakasan, Editor Massachusetts Institute of Technology Cambridge, Massachusetts, USA Published books in the

More information

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 5 Ver. II (Sep Oct. 2015), PP 109-115 www.iosrjournals.org Reduce Power Consumption

More information

Comparative Analysis of Fine Based 1 Bit Full Adder for Different Logic Styles

Comparative Analysis of Fine Based 1 Bit Full Adder for Different Logic Styles IOSR Journal of Engineering (IOSRJEN) ISSN (e): 2250-3021, ISSN (p): 2278-8719 Volume 7, PP 13-18 www.iosrjen.org Comparative Analysis of Fine Based 1 Bit Full Adder for Different Logic Styles Mahalaxmi

More information

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique International Journal of Electrical Engineering. ISSN 0974-2158 Volume 10, Number 3 (2017), pp. 323-335 International Research Publication House http://www.irphouse.com Minimizing the Sub Threshold Leakage

More information

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm EE241 - Spring 2011 dvanced Digital Integrated Circuits Lecture 20: High-Performance Logic Styles nnouncements Quiz #3 today Homework #4 posted This lecture until 4pm Reading: Chapter 8 in the owhill text

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random 45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11 Process-induced Variability I: Random Random Variability Sources and Characterization Comparisons of Different MOSFET

More information

III-V CMOS: Quo Vadis?

III-V CMOS: Quo Vadis? III-V CMOS: Quo Vadis? J. A. del Alamo, X. Cai, W. Lu, A. Vardi, and X. Zhao Microsystems Technology Laboratories Massachusetts Institute of Technology Compound Semiconductor Week 2018 Cambridge, MA, May

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays,

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays, EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture 8: Gate delays, Variability Announcements Project proposals due today Title Team members ½ page ~5 references Post it on your EECS web page

More information