Improving Design Reliability By Avoiding EOS. Matthew Hogan, Mentor Graphics

Size: px
Start display at page:

Download "Improving Design Reliability By Avoiding EOS. Matthew Hogan, Mentor Graphics"

Transcription

1 Improving Design Reliability By Avoiding EOS. Matthew Hogan, Mentor Graphics

2 BACKGROUND With the advent of more complex design requirements and greater variability in operating environments, electrical overstress (EOS) is one of the leading causes of IC failures across all semiconductor manufacturers, and is responsible for the vast majority of device failures and product returns. The use of multiple voltages increases the risk of EOS, so IC designers need to increase their diligence to ensure that thin-oxide digital transistors do not have direct or indirect paths to high-voltage portions of the design. The techniques for ensuring circuit reliability must evolve, even for established technologies. Many power-efficient designs today have multiple voltage domains. Device EOS occurs when a low-voltage device is driven by a high-voltage power rail, signal, or bulk connection with the potential to cause long-term damage (usually in the form of oxide breakdown). This damage results in circuit degradation over time. Conversely, when insufficient voltage is applied to a high-voltage device and the device is driven by a low-voltage net, the device may not switch, or may switch slowly, again degrading circuit performance. [1] EOS events can result in a wide spectrum of outcomes, ranging from catastrophic damage, where the IC is permanently non-functional (Figure 1) to electrical floating nodes/gates detection (which can create logic errors and/or gradual degradation in performance), to no short-term perceptible damage or performance degradation. EOS is an important concern for both analog and digital designers, due to the variety of power conditions commonly used in all designs, such as multiple power domains, standby/ wake-up/low power/power-down conditions (in which there is no bias current, but the battery is present), and the presence of high-voltage signals. Figure 1: Damage to input pin due to EOS (source: news/old_news/14/eos_example.jpg) Thin-oxide transistors, which are less robust against electrical failure, are being used extensively at advanced nodes, and impose new EOS verification challenges. Thinner oxide generally allows for the use of lower voltage and provides less power. When power domain design errors occur, effects such as negative bias temperature instability (NBTI) can lead to the threshold voltage of the PMOS transistors increasing over time, resulting in reduced switching speeds for logic gates, and hot carrier injection (HCI) issues, which alters the threshold voltage of NMOS devices over time. Soft breakdown (SBD) also contributes as a time-dependent failure mechanism, contributing to the degradation effects of gate oxide breakdown. Correct connection of these devices to the appropriate voltage domains is a critical factor when long-term circuit reliability is required. [2] [3] [4] [5] Understanding device pin voltages in all modes of operation is critical for detecting potential EOS issues. The ability to identify device breakdown, recognize reverse breakdown issues in high-voltage areas, and detect maximum voltage across gate oxides are all part of a robust EOS detection strategy. However, verifying device operating voltage conditions in voltage-controlled designs is very complicated. Many design teams employ SPICE simulations and user-generated marker layers or text points to check for EOS, but this is an error-prone method because it requires the designer to manually determine how voltages propagate throughout the design, and manually mark the correct regions for high-voltage design rules. Markers are also extremely difficult to maintain as the design is changed. With consumer expectations for longer device operations at sustained performance levels, designing for reliability is no longer an optional product feature, but a necessary and integral part of a product s specifications. Designers need verification tools and techniques that go beyond the traditional triumvirate of design rule checking (DRC), layout vs. schematic (LVS) comparison, and electrical rule checking (ERC) to provide thorough detection of and protection against EOS conditions. 2 [5]

3 LEVERAGING CALIBRE PERC FOR SUCCESSFUL EOS PREVENTION Preventing EOS events means IC designers must use new techniques to validate circuits that cross multiple power domains, and ensure that the voltages on pins are within the specified range that the device can tolerate. Calibre PERC is not just another point tool, but a full-featured reliability verification solution that supports designers throughout the design and sign-off process with a diverse range of capabilities and techniques that enable effective and efficient detection of EOS susceptibilities. Typically, EOS failures occur over time, making them difficult to find, since the time that elapses between the manufacture of the product and the actual failure can be significant. In place of time-consuming SPICE simulations to identify such errors, Calibre PERC uses static voltage propagation to assign the correct voltages to all of the design s internal nodes. The design team defines some or all of the input voltages, as well as the rules for propagating these voltages across different device types. Specific voltage potentials are identified within the design (typically at power nets and charge pumps), which are then automatically propagated throughout the whole design. Calibre PERC then determines if the voltage at each pin falls within the allowable range. Figure 2: Voltage-Misconnected Gates and Power Domains [1] Calibre PERC s ability to perform circuit topology-aware voltage propagation means designers can model voltage transition across spatial crossings (signal transition from one voltage island to another) to identify real EOS violations. The propagation algorithm runs in both vectored (circuit input states provided) and vectorless (only power/ground rails provided) modes. A quick, convenient way to conservatively search for EOS conditions is to employ a vectorless circuit evaluation, as shown in Figure 2. [1] The four first-stage conventional inverters are all high-voltage devices (e.g., 3.3v, designated by H), while the second-stage 2-input conventional NAND gates are low-voltage devices (e.g., 1.8v, designated by L). By querying each device, the user can attach a conditional filter and return a list of only those devices that have a gate node driven beyond 1.8v. Calibre PERC accomplishes this task in an efficient hierarchical manner by propagating the rail voltages across all devices (assuming that all devices are in the on state in vectorless mode). This results in the V+ and V- rail voltages being propagated throughout the network. Since exact voltages are not required for this investigation, the tool can find such over-voltage conditions much more quickly than a full circuit simulator. [1] In addition to checking for absolute value over-voltage conditions on gate and source pins, Calibre PERC can also check for misconnected bulk pins, and relative pin-to-pin EOS conditions such as damaging drain-to-source voltages. This capability is particularly useful for floating devices where any one pin can tolerate a given maximum voltage, but each pin on the device can only vary from that voltage by a given amount or percentage. With Calibre PERC, designers can easily establish a generic rule to identify the maximum voltage permitted, and then validate that each pin is within the tolerated range below the maximum for the device. Of course, debugging is a key component of any verification flow. Calibre PERC provides an integrated debugging solution that provides extensive coverage and is easy to use. Calibre PERC output can be customized for any design flow, while Calibre RVE provides a results viewing and debugging environment that can highlight results and geometries, and access connectivity information, to make debugging EOS errors easy, quick, and thorough (Figure 3). 3 [5]

4 Figure 3: Calibre PERC results can be easily and efficiently reviewed and debugged in Calibre RVE. With sophisticated reliability checking techniques, a unified rule deck, and integrated debugging environment, Calibre PERC helps designers eliminate the source of EOS failures without the use of manual markers or SPICE circuit simulation, while also enabling them to achieve the accurate and comprehensive verification necessary to ensure a repeatable and reliable design. To learn more about Calibre PERC s full range of capabilities, visit our website at: 4 [5]

5 REFERENCES [1] Hogan, M., Srinivasan, S., Medhat, D., Lu, Z., Hofmann, M., Using Static Voltage Analysis and Voltage-Aware DRC to Identify EOS and Oxide Breakdown Reliability Issues, Electrical Overstress/Electrostatic Discharge Symposium (EOS/ESD), [2] Abrishami, H., et al., NBTI-Aware Flip-Flop Characterization and Design, Great Lakes Symposium on VLSI (GLSVLSI), May 4 6, doi: / [3] Paul, B.C.; Kunhyuk Kang; Kufluoglu, H.; Alam, M.A.; Roy, K., Impact of NBTI on the temporal performance degradation of digital circuits, Electron Device Letters, IEEE, vol.26, no.8, pp.560,562, Aug doi: /LED URL: [4] Hong Luo; Yu Wang; Ku He; Rong Luo; Huazhong Yang; Yuan Xie, Modeling of PMOS NBTI Effect Considering Temperature Variation, Quality Electronic Design, ISQED 07. 8th International Symposium on, pp.139,144, March 2007 doi: /ISQED URL: [5] Jin Qin; Xiaojun Li; Bernstein, J.B., SRAM stability analysis considering gate oxide SBD, NBTI and HCI, Integrated Reliability Workshop Final Report, IRW IEEE International, vol., no., pp.33,37, Oct doi: /IRWS URL: For the latest product information, call us or visit: w w w. m e n t o r. c o m 2013 Mentor Graphics Corporation, all rights reserved. This document contains information that is proprietary to Mentor Graphics Corporation and may be duplicated in whole or in part by the original recipient for internal business purposes only, provided that this entire notice appears in all copies. In accepting this document, the recipient agrees to make every reasonable effort to prevent unauthorized use of this information. All trademarks mentioned in this document are the trademarks of their respective owners. MGC TECH11380

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION 1.1 Historical Background Recent advances in Very Large Scale Integration (VLSI) technologies have made possible the realization of complete systems on a single chip. Since complete

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2016 Khanna Adapted from GATech ESE3060 Slides Lecture

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

HOW SMALL PCB DESIGN TEAMS CAN SOLVE HIGH-SPEED DESIGN CHALLENGES WITH DESIGN RULE CHECKING MENTOR GRAPHICS

HOW SMALL PCB DESIGN TEAMS CAN SOLVE HIGH-SPEED DESIGN CHALLENGES WITH DESIGN RULE CHECKING MENTOR GRAPHICS HOW SMALL PCB DESIGN TEAMS CAN SOLVE HIGH-SPEED DESIGN CHALLENGES WITH DESIGN RULE CHECKING MENTOR GRAPHICS H I G H S P E E D D E S I G N W H I T E P A P E R w w w. p a d s. c o m INTRODUCTION Coping with

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS

WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS HOW TO MINIMIZE DESIGN MARGINS WITH ACCURATE ADVANCED TRANSISTOR DEGRADATION MODELS Reliability is a major criterion for

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2017 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b.

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. a PGMICRO, Federal University of Rio Grande do Sul, Porto Alegre, Brazil b Institute

More information

Temperature-aware NBTI modeling and the impact of input vector control on performance degradation

Temperature-aware NBTI modeling and the impact of input vector control on performance degradation Temperature-aware NBTI modeling and the impact of input vector control on performance degradation Yu Wang, Hong Luo, Ku He, Rong Luo, Huazhong Yang Circuits and Systems Division, E.E. Dept., Tsinghua University,

More information

Leakage Power Reduction by Using Sleep Methods

Leakage Power Reduction by Using Sleep Methods www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 2 Issue 9 September 2013 Page No. 2842-2847 Leakage Power Reduction by Using Sleep Methods Vinay Kumar Madasu

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

AMS Verification for High Reliability and Safety Critical Applications by Martin Vlach, Mentor Graphics

AMS Verification for High Reliability and Safety Critical Applications by Martin Vlach, Mentor Graphics AMS Verification for High Reliability and Safety Critical Applications by Martin Vlach, Mentor Graphics Today, very high expectations are placed on electronic systems in terms of functional safety and

More information

Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy

Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy 1 IC Failure Modes Affecting Reliability Via/metallization failure mechanisms Electro migration Stress migration Transistor

More information

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System 1 Raj Kumar Mistri, 2 Rahul Ranjan, 1,2 Assistant Professor, RTC Institute of Technology, Anandi, Ranchi, Jharkhand,

More information

A Novel Multiplier Design using Adaptive Hold Logic to Mitigate BTI Effect

A Novel Multiplier Design using Adaptive Hold Logic to Mitigate BTI Effect GRD Journals Global Research and Development Journal for Engineering International Conference on Innovations in Engineering and Technology (ICIET) - 2016 July 2016 e-issn: 2455-5703 A Novel Multiplier

More information

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique Total reduction of leakage power through combined effect of Sleep and variable body biasing technique Anjana R 1, Ajay kumar somkuwar 2 Abstract Leakage power consumption has become a major concern for

More information

! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! Standard Cells. ! CMOS Process Enhancements

! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! Standard Cells. ! CMOS Process Enhancements EE 570: igital Integrated Circuits and VLI Fundamentals Lec 3: January 18, 2018 MO Fabrication pt. 2: esign Rules and Layout Lecture Outline! MO evice Layout! Inverter Layout! Gate Layout and tick iagrams!

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective

Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective S. P. Mohanty, R. Velagapudi and E. Kougianos Dept of Computer Science and Engineering University of North Texas

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

Operational Amplifiers Part I of VI What Does Rail-to-Rail Input Really Mean? by Bonnie C. Baker Microchip Technology, Inc.

Operational Amplifiers Part I of VI What Does Rail-to-Rail Input Really Mean? by Bonnie C. Baker Microchip Technology, Inc. Operational Amplifiers Part I of VI What Does Rail-to-Rail Input Really Mean? by Bonnie C. Baker Microchip Technology, Inc. bonnie.baker@microchip.com Some single-supply operational amplifier advertisements

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

Introducing Pulsing into Reliability Tests for Advanced CMOS Technologies

Introducing Pulsing into Reliability Tests for Advanced CMOS Technologies WHITE PAPER Introducing Pulsing into Reliability Tests for Advanced CMOS Technologies Pete Hulbert, Industry Consultant Yuegang Zhao, Lead Applications Engineer Keithley Instruments, Inc. AC, or pulsed,

More information

A Methodology for Measuring Transistor Ageing Effects Towards Accurate Reliability Simulation

A Methodology for Measuring Transistor Ageing Effects Towards Accurate Reliability Simulation A Methodology for Measuring Transistor Ageing Effects Towards Accurate Reliability Simulation Elie Maricau and Georges Gielen ESAT-MICAS KULeuven Heverlee-Leuven, Belgium 3001 Email: elie.maricau@esat.kuleuven.be

More information

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style International Journal of Advancements in Research & Technology, Volume 1, Issue3, August-2012 1 Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style Vishal Sharma #, Jitendra Kaushal Srivastava

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME Neeta Pandey 1, Kirti Gupta 2, Rajeshwari Pandey 3, Rishi Pandey 4, Tanvi Mittal 5 1, 2,3,4,5 Department of Electronics and Communication Engineering, Delhi Technological

More information

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS.

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. Abstract This paper presents a novel SRAM design for nanoscale CMOS. The new design addresses

More information

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Microelectronics and Solid State Electronics 2013, 2(2): 24-28 DOI: 10.5923/j.msse.20130202.02 Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Keerti Kumar. K

More information

CMOS Inverter & Ring Oscillator

CMOS Inverter & Ring Oscillator CMOS Inverter & Ring Oscillator Theory: In this Lab we will implement a CMOS inverter and then use it as a building block for a Ring Oscillator. MOSfets (Metal Oxide Semiconductor Field Effect Transistors)

More information

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design Anu Tonk Department of Electronics Engineering, YMCA University, Faridabad, Haryana tonkanu.saroha@gmail.com Shilpa Goyal

More information

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Sumit Kumar Srivastavar 1, Er.Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology,

More information

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER Ashwini Khadke 1, Paurnima Chaudhari 2, Mayur More 3, Prof. D.S. Patil 4 1Pursuing M.Tech, Dept. of Electronics and Engineering, NMU, Maharashtra,

More information

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE P a g e 80 Available online at http://arjournal.org APPLIED RESEARCH JOURNAL RESEARCH ARTICLE ISSN: 2423-4796 Applied Research Journal Vol. 3, Issue, 2, pp.80-86, February, 2017 COMPARATIVE STUDY ON SINGLE

More information

Figure.1. Schematic of 4-bit CLA JCHPS Special Issue 9: June Page 101

Figure.1. Schematic of 4-bit CLA JCHPS Special Issue 9: June Page 101 Delay Depreciation and Power efficient Carry Look Ahead Adder using CMOS T. Archana*, K. Arunkumar, A. Hema Malini Department of Electronics and Communication Engineering, Saveetha Engineering College,

More information

I DDQ Current Testing

I DDQ Current Testing I DDQ Current Testing Motivation Early 99 s Fabrication Line had 5 to defects per million (dpm) chips IBM wanted to get 3.4 defects per million (dpm) chips Conventional way to reduce defects: Increasing

More information

AS THE semiconductor process is scaled down, the thickness

AS THE semiconductor process is scaled down, the thickness IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 361 A New Schmitt Trigger Circuit in a 0.13-m 1/2.5-V CMOS Process to Receive 3.3-V Input Signals Shih-Lun Chen,

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Low Power Adiabatic Logic Design

Low Power Adiabatic Logic Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 1, Ver. III (Jan.-Feb. 2017), PP 28-34 www.iosrjournals.org Low Power Adiabatic

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

INTRODUCTION TO MOS TECHNOLOGY

INTRODUCTION TO MOS TECHNOLOGY INTRODUCTION TO MOS TECHNOLOGY 1. The MOS transistor The most basic element in the design of a large scale integrated circuit is the transistor. For the processes we will discuss, the type of transistor

More information

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches Indian Journal of Science and Technology, Vol 9(17), DOI: 10.17485/ijst/2016/v9i17/93111, May 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Study and Analysis of CMOS Carry Look Ahead Adder with

More information

Domino Static Gates Final Design Report

Domino Static Gates Final Design Report Domino Static Gates Final Design Report Krishna Santhanam bstract Static circuit gates are the standard circuit devices used to build the major parts of digital circuits. Dynamic gates, such as domino

More information

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 3 (Sep. Oct. 2013), PP 32-37 e-issn: 2319 4200, p-issn No. : 2319 4197 A Novel Dual Stack Sleep Technique for Reactivation Noise suppression

More information

induced Aging g Co-optimization for Digital ICs

induced Aging g Co-optimization for Digital ICs International Workshop on Emerging g Circuits and Systems (2009) Leakage power and NBTI- induced Aging g Co-optimization for Digital ICs Yu Wang Assistant Prof. E.E. Dept, Tsinghua University, China On-going

More information

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator 1 G. Rajesh, 2 G. Guru Prakash, 3 M.Yachendra, 4 O.Venka babu, 5 Mr. G. Kiran Kumar 1,2,3,4 Final year, B. Tech, Department

More information

Design cycle for MEMS

Design cycle for MEMS Design cycle for MEMS Design cycle for ICs IC Process Selection nmos CMOS BiCMOS ECL for logic for I/O and driver circuit for critical high speed parts of the system The Real Estate of a Wafer MOS Transistor

More information

NBTI and Process Variation Circuit Design Using Adaptive Body Biasing

NBTI and Process Variation Circuit Design Using Adaptive Body Biasing IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 2, Ver. III (Mar-Apr. 2014), PP 91-98 e-issn: 2319 4200, p-issn No. : 2319 4197 NBTI and Process Variation Circuit Design Using Adaptive

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

Adiabatic Logic Circuits for Low Power, High Speed Applications

Adiabatic Logic Circuits for Low Power, High Speed Applications IJSTE - International Journal of Science Technology & Engineering Volume 3 Issue 10 April 2017 ISSN (online): 2349-784X Adiabatic Logic Circuits for Low Power, High Speed Applications Satyendra Kumar Ram

More information

Leakage Current Analysis

Leakage Current Analysis Current Analysis Hao Chen, Latriese Jackson, and Benjamin Choo ECE632 Fall 27 University of Virginia , , @virginia.edu Abstract Several common leakage current reduction methods such

More information

A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak Narayanan 1 Mr.G.RajeshBabu 2

A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak Narayanan 1 Mr.G.RajeshBabu 2 IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 03, 2016 ISSN (online): 2321-0613 A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak

More information

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform

More information

ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology

ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology Chih-Ting Yeh (1, 2) and Ming-Dou Ker (1, 3) (1) Department

More information

NBTI Degradation: A Problem or a Scare?

NBTI Degradation: A Problem or a Scare? 21st International Conference on VLSI Design NBTI Degradation: A Problem or a Scare? Kewal K. Saluja, Shriram Vijayakumar, Warin Sootkaneung, and Xaingning Yang Department of Electrical and Computer Engineering

More information

A novel high performance 3 VDD-tolerant ESD detection circuit in advanced CMOS process

A novel high performance 3 VDD-tolerant ESD detection circuit in advanced CMOS process LETTER IEICE Electronics Express, Vol.14, No.21, 1 10 A novel high performance 3 VDD-tolerant ESD detection circuit in advanced CMOS process Xiaoyun Li, Houpeng Chen a), Yu Lei b), Qian Wang, Xi Li, Jie

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT PRADEEP G CHAGASHETTI Mr. H.V. RAVISH ARADHYA Department of E&C Department of E&C R.V.COLLEGE of ENGINEERING R.V.COLLEGE of ENGINEERING Bangalore

More information

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1 Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1. Introduction 2. Metal Oxide Semiconductor (MOS) logic 2.1. Enhancement and depletion mode 2.2. NMOS and PMOS inverter

More information

Dynamic Threshold for Advanced CMOS Logic

Dynamic Threshold for Advanced CMOS Logic AN-680 Fairchild Semiconductor Application Note February 1990 Revised June 2001 Dynamic Threshold for Advanced CMOS Logic Introduction Most users of digital logic are quite familiar with the threshold

More information

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique International Journal of Electrical Engineering. ISSN 0974-2158 Volume 10, Number 3 (2017), pp. 323-335 International Research Publication House http://www.irphouse.com Minimizing the Sub Threshold Leakage

More information

Ruixing Yang

Ruixing Yang Design of the Power Switching Network Ruixing Yang 15.01.2009 Outline Power Gating implementation styles Sleep transistor power network synthesis Wakeup in-rush current control Wakeup and sleep latency

More information

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. II (Nov -Dec. 2015), PP 06-15 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org High-Performance of Domino Logic

More information

Implementation of Full Adder using Cmos Logic

Implementation of Full Adder using Cmos Logic ISSN: 232-9653; IC Value: 45.98; SJ Impact Factor:6.887 Volume 5 Issue VIII, July 27- Available at www.ijraset.com Implementation of Full Adder using Cmos Logic Ravika Gupta Undergraduate Student, Dept

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience CMOS VLSI IC Design A decent understanding of all tasks required to design and fabricate a chip takes years of experience 1 Commonly used keywords INTEGRATED CIRCUIT (IC) many transistors on one chip VERY

More information

OBSOLETE. Simple Sequencers in 6-Lead SC70 ADM1088. Data Sheet

OBSOLETE. Simple Sequencers in 6-Lead SC70 ADM1088. Data Sheet Data Sheet Simple Sequencers in 6-Lead SC7 FEATURES Provide programmable time delays between enable signals Can be cascaded with power modules for multiple supply sequencing Power supply monitoring from.6

More information

Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose

Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose Kazutoshi Kobayashi Kyoto Institute of Technology Kyoto, Japan kazutoshi.kobayashi@kit.ac.jp

More information

A Comparative Study of Dynamic Latch Comparator

A Comparative Study of Dynamic Latch Comparator A Comparative Study of Dynamic Latch Comparator Sandeep K. Arya, Neelkamal Department of Electronics & Communication Engineering Guru Jambheshwar University of Science & Technology, Hisar, India (125001)

More information

Sticks Diagram & Layout. Part II

Sticks Diagram & Layout. Part II Sticks Diagram & Layout Part II Well and Substrate Taps Substrate must be tied to GND and n-well to V DD Metal to lightly-doped semiconductor forms poor connection called Shottky Diode Use heavily doped

More information

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY A PATH FOR HORIZING YOUR INNOVATIVE WORK DESIGN OF LOW POWER MULTIPLIERS USING APPROXIMATE ADDER MR. PAWAN SONWANE 1, DR.

More information

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University By: K. Tripurari, C. W. Hsu, J. Kuppambatti, B. Vigraham, P.R. Kinget Columbia University For

More information

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment 1014 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 7, JULY 2005 Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment Dongwoo Lee, Student

More information

Ultra Low Power VLSI Design: A Review

Ultra Low Power VLSI Design: A Review International Journal of Emerging Engineering Research and Technology Volume 4, Issue 3, March 2016, PP 11-18 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Ultra Low Power VLSI Design: A Review G.Bharathi

More information

Why VPEAK is the Most Critical Aperture Tuner Parameter

Why VPEAK is the Most Critical Aperture Tuner Parameter APPLICATION NOTE Why VPEAK is the Most Critical Aperture Tuner Parameter VPEAK and Voltage Handling: Selecting an Aperture Tuner with Insufficient VPEAK May Result in Degraded TRP, TIS and Phone Certification

More information

Very Low Voltage Testing of SOI Integrated Circuits

Very Low Voltage Testing of SOI Integrated Circuits Very Low Voltage Testing of SOI Integrated Circuits Eric MacDonald Nur A.Touba IBM Microelectronics Division Computer Engineering Research Center 114 Burnet Road Dept. of Electrical and Computer Engineering

More information

Analysis of Different Topologies of Inverter in 0.18µm CMOS Technology and its Comparision

Analysis of Different Topologies of Inverter in 0.18µm CMOS Technology and its Comparision Analysis of Different Topologies of Inverter in 0.18µm CMOS Technology and its Comparision Ashish Panchal (Senior Lecturer) Electronics & Instrumentation Engg. Department, Shri G.S.Institute of Technology

More information

An Analysis of Novel CMOS Ring Oscillator Using LECTOR Technique with Minimum Leakage

An Analysis of Novel CMOS Ring Oscillator Using LECTOR Technique with Minimum Leakage Available online www.ejaet.com European Journal of Advances in Engineering and Technology, 2017, 4 (1): 44-48 Research Article ISSN: 2394-658X An Analysis of Novel CMOS Ring Oscillator Using LECTOR Technique

More information

EE 330 Lecture 44. Digital Circuits. Ring Oscillators Sequential Logic Array Logic Memory Arrays. Final: Tuesday May 2 7:30-9:30

EE 330 Lecture 44. Digital Circuits. Ring Oscillators Sequential Logic Array Logic Memory Arrays. Final: Tuesday May 2 7:30-9:30 EE 330 Lecture 44 igital Circuits Ring Oscillators Sequential Logic Array Logic Memory Arrays Final: Tuesday May 2 7:30-9:30 Review from Last Time ynamic Logic Basic ynamic Logic Gate V F A n PN Any of

More information

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT Kaushal Kumar Nigam 1, Ashok Tiwari 2 Department of Electronics Sciences, University of Delhi, New Delhi 110005, India 1 Department of Electronic

More information

Leakage Power Reduction in CMOS VLSI

Leakage Power Reduction in CMOS VLSI Leakage Power Reduction in CMOS VLSI 1 Subrat Mahalik Department of ECE, Mallareddy Engineering College (Autonomous), Hyderabad, India 2 M. Bhanu Teja Department of ECE, Mallareddy Engineering College

More information

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY International Journal of Knowledge Management & e-learning Volume 3 Number 1 January-June 2011 pp. 1-5 DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY K. Nagarjuna Reddy 1, K. V. Ramanaiah 2 & K. Sudheer

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Shao-Hui Shieh and Ming-En Lee Department of Electronic Engineering, National Chin-Yi University of Technology, ssh@ncut.edu.tw, s497332@student.ncut.edu.tw

More information

Design of Multiplier using Low Power CMOS Technology

Design of Multiplier using Low Power CMOS Technology Page 203 Design of Multiplier using Low Power CMOS Technology G.Nathiya 1 and M.Balasubramani 2 1 PG Student, Department of ECE, Vivekanandha College of Engineering for Women, India. Email: nathiya.mani94@gmail.com

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

A TDC based BIST Scheme for Operational Amplifier Jun Yuan a and Wei Wang b

A TDC based BIST Scheme for Operational Amplifier Jun Yuan a and Wei Wang b Applied Mechanics and Materials Submitted: 2014-07-19 ISSN: 1662-7482, Vols. 644-650, pp 3583-3587 Accepted: 2014-07-20 doi:10.4028/www.scientific.net/amm.644-650.3583 Online: 2014-09-22 2014 Trans Tech

More information

Digital Electronics. By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology

Digital Electronics. By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology K. N. Toosi University of Technology Chapter 7. Field-Effect Transistors By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology http://wp.kntu.ac.ir/faradji/digitalelectronics.htm

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

UNIT-1 Fundamentals of Low Power VLSI Design

UNIT-1 Fundamentals of Low Power VLSI Design UNIT-1 Fundamentals of Low Power VLSI Design Need for Low Power Circuit Design: The increasing prominence of portable systems and the need to limit power consumption (and hence, heat dissipation) in very-high

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information