Control Synthesis and Delay Sensor Deployment for Efficient ASV designs

Size: px
Start display at page:

Download "Control Synthesis and Delay Sensor Deployment for Efficient ASV designs"

Transcription

1 Control Synthesis and Delay Sensor Deployment for Efficient ASV designs C H A O FA N L I < C H AO TA M U. E D U >, T E X A S A & M U N I V E RS I T Y S A C H I N S. S A PAT N E K A R, U N I V E RS I T Y O F M I N N ESOTA J I A N G H U, T E X A S A & M U N I V E RS I T Y This work is partially supported by NSF and Semiconductor Research Corporation (SRC) 1

2 Motivation Resilience against Circuit Variation Delay Sensor Process variation Timing variation Worse propagation delay Transistor aging Warning signal Increase timing margin 2

3 Introduction Adaptive Design for Variation Tolerance Delay sensor designs Critical Path Replica Canary Flip-Flop Razor-like shadow flip-flop Resilience for variation Adaptive Supply Voltage (ASV) Adaptive Body Bias (ABB) Forward Body Bias (FBB) Reverse Body Bias (RBB) 3

4 Introduction Adaptive Supply Voltage designs Coarse-grained ASV Less adaptive blocks and delay sensors One-to-one association of sensors and blocks Simple control Fine-grained ASV More adaptive blocks and delay sensors One sensor may reflect multiple blocks Complex control Core/ Block A Delay Sensor 1 Core/ Delay Block B Sensor 2 Coarse-grained ASV Adaptivity Block B Adaptivity Block A Combinational Logic Circuit Fine-grained ASV Delay Sensor 1 Delay Sensor 2 Delay Sensor 3 4

5 Introduction Voltage Interpolation[1] VddH 1.2V VddL 1.0V Effective Vdd 1.13V Block 1 Block 2 Block 3 Combinational circuits Voltage Interpolation is one of the fine-grained ASV designs Only two voltage regulators [1] Liang. X. etc. Revival: A variation-tolerant architecture using voltage interpolation and variable latency

6 Overview of Design Flow Clustered placement Initial placement Timing analysis Clustering[1] Delay sensor deployment Control synthesis considering [2] Adaptivity assignment[2] Final placement [1] A. Lu, H. He and J. Hu, Proximity Optimization for Adaptive Circuit Design, ACM International Symposium on Physical Design, [2] H. He, J. Wang and J. Hu, Collaborative Gate Implementation Selection and Adaptivity Assignment for Robust Combinational Circuits, ISLPED,

7 Control Synthesis Adaptivity Block B Adaptivity Block A Adaptivity Block C Combinational Logic Circuit Canary FF 1 Canary FF 2 Canary FF 3 Tune some blocks to high VDD with min power overhead such that no warning signal at sensors 7

8 Control Synthesis Rule-Based method Turn one block to high VDD based on one sensor warning Adaptive blocks Delay sensors Capacity = 1 Cost = 0 S A B C Capacity = 1 Cost Capacity = 1 Cost = 0 Cost is inversely proportional to the overlap T Min-Cost maxflow One-to-one association 8

9 Control Synthesis Finite-State Machine (FSM): Overview Phase I: Initial response Ensure all timing warnings responded with minimum power overhead Phase II: Incremental response Ensure all timing warnings removed Initial adaptivity block states Phase I Flag matrix Dominance graph Pruned graph Control logic Updated adaptivity block states Delay Sensor states Phase II Flag matrix Control logic Updated adaptivity block states 9

10 Control Synthesis FSM: Inputs, states and flag matrix Input vector State (output) vector Flag matrix The states of delay sensors The voltage levels of adaptivity blocks Relationship between sensors and adaptive blocks Adaptivity Block B Adaptivity Block A Adaptivity Block C Combinational Logic Circuit Canary FF 1 Canary FF 2 Canary FF 3 State vector b i = {0, 1} b A b B b C Input vector s j = {0, 1} s 1 s 2 s Flag matrix 10

11 Control Synthesis Finite-State Machine: Phase I Example flag matrix Adaptivity blocks Sensors Definitions: Maximum Single-Response Scenario {s1,s2,s3}, {s4,s5,s6} Single-Response Scenario of {s1,s2,s3} {s1,s2,s3} {s1,s2}, {s1,s3}, {s1,s2} {s1}, {s2}, {s3} Compensated by adaptivity block #2 Adaptivity block #4 has large overlap with the fan-in cone of sensor 3 11

12 Graph Pruning Finite-State Machine: Phase I b2 {1,2,3} b1 {4,5,6} b2 {1,2,3} b1 {4,5,6} b2 b2 b4 {1,2} {1,3} {2,3} b1 b1 b5 {4,5} {4,6} {5,6} b2 b4 {1,2} {2,3} b1 b1 b5 {4,5} {4,6} {5,6} b6 b4 b3 {1} {2} {3} b1 b5 b5 {4} {5} {6} b6 b4 b3 {1} {2} {3} b1 b5 b5 {4} {5} {6} Before Pruning After Pruning Redundant Sensor Scenario : Overhead larger than that successor scenario Overhead of b6 and b3 less than b2 {1,3} pruned 12

13 Initial Response Finite-State Machine: Phase I b2 b2 {1,2,3} b4 {1,2} {2,3} b6 b4 b3 {1} {2} {3} Scenario sets b1 {4,5,6} b1 b1 b5 {4,5} {4,6} {5,6} b1 b5 b5 {4} {5} {6} b 5 = (s 6 + s 5 ) (s 4 ) b 4 = s 2 s 1 Min scenario is a minterm 1, 2 s 1 s 2 { 5, 6 } s 6 + s 5 B 5 = s 6, s 5, s 6, s 5 B max 5 = {s 6, s 5 } B min 5 = s 6, {s 5 } B 4 = s 2, s 3, s 2 B max 4 = {s 3, s 2 } B min 4 = s 2 Complement of max scenario is a minterm 4, 5, 6 5, 6 s 4 1, 2, 3 {2, 3} s 1 13

14 Incremental Response Finite-State Machine: Phase II Initial State Partial Finite-State Machine: Numbers in the circle denote tuning knob states Number by the edge denote sensor states Initial Response Incremental Response: Greedy changes until no warnings 14

15 Delay Sensor Deployment Goals of delay sensors Monitor all paths whose delay variations pose a risk on timing violation a b c Canary FF 1 FF2 Canary FF 3 Large overlap among timing paths to different flip-flops Need tradeoff between coverage and criticality 15

16 Delay Sensor Deployment Formulation 1: maximize coverage with number of delay sensors no more than a upper bound Iteratively select FF with maximum priority value p i = d i w d i di 16

17 Delay Sensor Deployment Example of Formulation 1 d i di p i = d i w d i is the total path delay, d i is the uncovered path delay p i d = (2.9, 3, 3.1) d = (1.8, 1.5, 1.2) w 17

18 Delay Sensor Deployment Formulation 2: minimize number of delay sensors with coverage no less than a lower bound (Set Cover Problem) d Covered if p i = i di < p th Find minimum number of flips-flops that make almost all critical paths covered Solved iteratively by choosing FF with maximum p 18

19 Experiment Encounter Final placement DEF file Routing Parasitic extraction Nangate FreePDK library SPEF file Timing yield / Power Consumption Monte Carlo simulations Timing paths Static Timing Analysis PrimeTime 19

20 Results Timing Yield 1 Coarse Rule FSM All Low edit_dist matrix_mult vga_lcd b19 leon3mp leon2 netcard Average 20

21 Results Leakage Power Coarse Rule FSM All Low edit_dist matrix_mult vga_lcd b19 leon3mp leon2 netcard Average 21

22 Number of covered critical paths Results Delay Sensor Deployment Result for b Previous work Formulation 1 Formulation Number of sensors 22

23 Conclusion Proposed two control synthesis methods for efficient ASV designs Rule-based method Finite-state machine method Proposed delay sensor deployment method that achieves better balance between Coverage of timing paths Criticality of timing paths Our methods achieves approximately 20% leakage power reduction compared to coarse-grained designs 23

24 Thanks Q & A 24

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1 DATE 2006 Special Session: DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations in Digital, Analog and Mixed-Signal Circuit Design DATE 06 Munich, March 8th, 2006 Presenter

More information

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs 1 Outline Variations Process, supply voltage, and temperature

More information

Measurement and Optimization of Electrical Process Window

Measurement and Optimization of Electrical Process Window Measurement and Optimization of Electrical Process Window Tuck-Boon Chan*, Abde Ali Kagalwalla, Puneet Gupta Dept. of EE, University of California Los Angeles (tuckie@ee.ucla.edu) Work partly supported

More information

METHODS FOR TRUE ENERGY- PERFORMANCE OPTIMIZATION. Naga Harika Chinta

METHODS FOR TRUE ENERGY- PERFORMANCE OPTIMIZATION. Naga Harika Chinta METHODS FOR TRUE ENERGY- PERFORMANCE OPTIMIZATION Naga Harika Chinta OVERVIEW Introduction Optimization Methods A. Gate size B. Supply voltage C. Threshold voltage Circuit level optimization A. Technology

More information

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations Sno Projects List IEEE 1 High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations 2 A Generalized Algorithm And Reconfigurable Architecture For Efficient And Scalable

More information

EFFICIENT VLSI IMPLEMENTATION OF A SEQUENTIAL FINITE FIELD MULTIPLIER USING REORDERED NORMAL BASIS IN DOMINO LOGIC

EFFICIENT VLSI IMPLEMENTATION OF A SEQUENTIAL FINITE FIELD MULTIPLIER USING REORDERED NORMAL BASIS IN DOMINO LOGIC EFFICIENT VLSI IMPLEMENTATION OF A SEQUENTIAL FINITE FIELD MULTIPLIER USING REORDERED NORMAL BASIS IN DOMINO LOGIC P.NAGA SUDHAKAR 1, S.NAZMA 2 1 Assistant Professor, Dept of ECE, CBIT, Proddutur, AP,

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

EECS 427 Lecture 22: Low and Multiple-Vdd Design

EECS 427 Lecture 22: Low and Multiple-Vdd Design EECS 427 Lecture 22: Low and Multiple-Vdd Design Reading: 11.7.1 EECS 427 W07 Lecture 22 1 Last Time Low power ALUs Glitch power Clock gating Bus recoding The low power design space Dynamic vs static EECS

More information

Optimal Module and Voltage Assignment for Low-Power

Optimal Module and Voltage Assignment for Low-Power Optimal Module and Voltage Assignment for Low-Power Deming Chen +, Jason Cong +, Junjuan Xu *+ + Computer Science Department, University of California, Los Angeles, USA * Computer Science and Technology

More information

Ramya Srinivasan GLOBALFOUNDRIES 22FDX: Tempus Body-Bias Interpolation QoR. April

Ramya Srinivasan GLOBALFOUNDRIES 22FDX: Tempus Body-Bias Interpolation QoR. April Ramya Srinivasan GLOBALFOUNDRIES 22FDX: Tempus Body-Bias Interpolation QoR April 12 2017 22FDX: Tempus Body-Bias Interpolation QoR Presenter: Ramya Srinivasan Authors GLOBALFOUNDRIES: Haritez Narisetty

More information

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available Timing Analysis Lecture 9 ECE 156A-B 1 General Timing analysis can be done right after synthesis But it can only be accurately done when layout is available Timing analysis at an early stage is not accurate

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 3.134 International Journal of Advance Engineering and Research Development Volume 2,Issue 11,November -2015 E-ISSN (O): 2348-4470 P-ISSN (P): 2348-6406 Boostable

More information

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment 1014 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 7, JULY 2005 Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment Dongwoo Lee, Student

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Optimal Simultaneous Module and Multivoltage Assignment for Low Power

Optimal Simultaneous Module and Multivoltage Assignment for Low Power Optimal Simultaneous Module and Multivoltage Assignment for Low Power DEMING CHEN University of Illinois, Urbana-Champaign JASON CONG University of California, Los Angeles and JUNJUAN XU Synopsys, Inc.

More information

A Novel Multiplier Design using Adaptive Hold Logic to Mitigate BTI Effect

A Novel Multiplier Design using Adaptive Hold Logic to Mitigate BTI Effect GRD Journals Global Research and Development Journal for Engineering International Conference on Innovations in Engineering and Technology (ICIET) - 2016 July 2016 e-issn: 2455-5703 A Novel Multiplier

More information

Tiago Reimann Cliff Sze Ricardo Reis. Gate Sizing and Threshold Voltage Assignment for High Performance Microprocessor Designs

Tiago Reimann Cliff Sze Ricardo Reis. Gate Sizing and Threshold Voltage Assignment for High Performance Microprocessor Designs Tiago Reimann Cliff Sze Ricardo Reis Gate Sizing and Threshold Voltage Assignment for High Performance Microprocessor Designs A grain of rice has the price of more than a 100 thousand transistors Source:

More information

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India,

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India, ISSN 2319-8885 Vol.03,Issue.30 October-2014, Pages:5968-5972 www.ijsetr.com Low Power and Area-Efficient Carry Select Adder THANNEERU DHURGARAO 1, P.PRASANNA MURALI KRISHNA 2 1 PG Scholar, Dept of DECS,

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak Narayanan 1 Mr.G.RajeshBabu 2

A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak Narayanan 1 Mr.G.RajeshBabu 2 IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 03, 2016 ISSN (online): 2321-0613 A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak

More information

Towards PVT-Tolerant Glitch-Free Operation in FPGAs

Towards PVT-Tolerant Glitch-Free Operation in FPGAs Towards PVT-Tolerant Glitch-Free Operation in FPGAs Safeen Huda and Jason H. Anderson ECE Department, University of Toronto, Canada 24 th ACM/SIGDA International Symposium on FPGAs February 22, 2016 Motivation

More information

VOLTAGE AND TIMING ADAPTATION FOR VARIATION AND AGING TOLERANCE IN NANOMETER VLSI CIRCUITS. A Dissertation KYU-NAM SHIM

VOLTAGE AND TIMING ADAPTATION FOR VARIATION AND AGING TOLERANCE IN NANOMETER VLSI CIRCUITS. A Dissertation KYU-NAM SHIM VOLTAGE AND TIMING ADAPTATION FOR VARIATION AND AGING TOLERANCE IN NANOMETER VLSI CIRCUITS A Dissertation by KYU-NAM SHIM Submitted to the Office of Graduate Studies of Texas A&M University in partial

More information

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Microelectronics Journal 39 (2008) 1714 1727 www.elsevier.com/locate/mejo Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Ranjith Kumar, Volkan Kursun Department

More information

induced Aging g Co-optimization for Digital ICs

induced Aging g Co-optimization for Digital ICs International Workshop on Emerging g Circuits and Systems (2009) Leakage power and NBTI- induced Aging g Co-optimization for Digital ICs Yu Wang Assistant Prof. E.E. Dept, Tsinghua University, China On-going

More information

Using a Voltage Domain Programmable Technique for Low-Power Management Cell-Based Design

Using a Voltage Domain Programmable Technique for Low-Power Management Cell-Based Design J. Low Power Electron. Appl. 2011, 1, 303-326; doi:10.3390/jlpea1020303 Article Using a Voltage Domain Programmable Technique for Low-Power Management Cell-Based Design Ching-Hwa Cheng Journal of Low Power

More information

EE 330 Lecture 7. Design Rules

EE 330 Lecture 7. Design Rules EE 330 Lecture 7 Design Rules Last time: Response time of logic gates A Y C L t R C HL SWn L t R C LH SWp L C L proportional to #gates driven to avg input cap of gates R SW proportional length/width Last

More information

Advanced Techniques for Using ARM's Power Management Kit

Advanced Techniques for Using ARM's Power Management Kit ARM Connected Community Technical Symposium Advanced Techniques for Using ARM's Power Management Kit Libo Chang( 常骊波 ) ARM China 2006 年 12 月 4/6/8 日, 上海 / 北京 / 深圳 Power is Out of Control! Up to 90nm redu

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

Fast Placement Optimization of Power Supply Pads

Fast Placement Optimization of Power Supply Pads Fast Placement Optimization of Power Supply Pads Yu Zhong Martin D. F. Wong Dept. of Electrical and Computer Engineering Dept. of Electrical and Computer Engineering Univ. of Illinois at Urbana-Champaign

More information

A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE

A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE Mei-Wei Chen 1, Ming-Hung Chang 1, Pei-Chen Wu 1, Yi-Ping Kuo 1, Chun-Lin Yang 1, Yuan-Hua Chu 2, and Wei Hwang

More information

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems EDA Challenges for Low Power Design Anand Iyer, Cadence Design Systems Agenda Introduction ti LP techniques in detail Challenges to low power techniques Guidelines for choosing various techniques Why is

More information

Leakage Current Analysis

Leakage Current Analysis Current Analysis Hao Chen, Latriese Jackson, and Benjamin Choo ECE632 Fall 27 University of Virginia , , @virginia.edu Abstract Several common leakage current reduction methods such

More information

an Intuitive Logic Shifting Heuristic for Improving Timing Slack Violating Paths

an Intuitive Logic Shifting Heuristic for Improving Timing Slack Violating Paths an Intuitive Logic Shifting Heuristic for Improving Timing Slack Violating Paths Xing Wei, Wai-Chung Tang, Yu-Liang Wu Department of Computer Science and Engineering The Chinese University of Hong Kong

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design Cao Cao and Bengt Oelmann Department of Information Technology and Media, Mid-Sweden University S-851 70 Sundsvall, Sweden {cao.cao@mh.se}

More information

Blockage and Voltage Island-Aware Dual-VDD Buffered Tree Construction

Blockage and Voltage Island-Aware Dual-VDD Buffered Tree Construction Blockage and Voltage Island-Aware Dual-VDD Buffered Tree Construction Bruce Tseng Faraday Technology Cor. Hsinchu, Taiwan Hung-Ming Chen Dept of EE National Chiao Tung U. Hsinchu, Taiwan April 14, 2008

More information

Pulse propagation for the detection of small delay defects

Pulse propagation for the detection of small delay defects Pulse propagation for the detection of small delay defects M. Favalli DI - Univ. of Ferrara C. Metra DEIS - Univ. of Bologna Abstract This paper addresses the problems related to resistive opens and bridging

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

VLSI Design Verification and Test Delay Faults II CMPE 646

VLSI Design Verification and Test Delay Faults II CMPE 646 Path Counting The number of paths can be an exponential function of the # of gates. Parallel multipliers are notorious for having huge numbers of paths. It is possible to efficiently count paths in spite

More information

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

Impact of Leakage on IC Testing?

Impact of Leakage on IC Testing? Deep Sub-micron Test: High Leakage Current and Its Impact on Test; Cross-talk Noise Kaushik Roy Electrical & Computer Engineering Purdue University Impact of Leakage on IC Testing? Our Focus Higher intrinsic

More information

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Jan. 28. 2011 Nobuyuki Nishiguchi Semiconductor Technology Advanced Research Center (STARC) ASP-DAC

More information

SUMMARY/DIALOGUE 2 PRESHAPE PIXEL OVERVIEW 3 BRIEF OPERATING INSTRUCTIONS 3 PRESHAPE PIXEL SIMULATION: EXAMPLE OPERATION 4 PRESHAPE PIXEL SIMULATION:

SUMMARY/DIALOGUE 2 PRESHAPE PIXEL OVERVIEW 3 BRIEF OPERATING INSTRUCTIONS 3 PRESHAPE PIXEL SIMULATION: EXAMPLE OPERATION 4 PRESHAPE PIXEL SIMULATION: SUMMARY/DIALOGUE 2 PRESHAPE PIXEL OVERVIEW 3 BRIEF OPERATING INSTRUCTIONS 3 PRESHAPE PIXEL SIMULATION: EXAMPLE OPERATION 4 PRESHAPE PIXEL SIMULATION: SMALL SIGNALS AROUND THRESHOLD 5 PRESHAPE PIXEL SIMULATION:

More information

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b.

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. a PGMICRO, Federal University of Rio Grande do Sul, Porto Alegre, Brazil b Institute

More information

ENGIN 112 Intro to Electrical and Computer Engineering

ENGIN 112 Intro to Electrical and Computer Engineering ENGIN 112 Intro to Electrical and Computer Engineering Lecture 28 Timing Analysis Overview Circuits do not respond instantaneously to input changes Predictable delay in transferring inputs to outputs Propagation

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

PROGRAMMABLE ASICs. Antifuse SRAM EPROM

PROGRAMMABLE ASICs. Antifuse SRAM EPROM PROGRAMMABLE ASICs FPGAs hold array of basic logic cells Basic cells configured using Programming Technologies Programming Technology determines basic cell and interconnect scheme Programming Technologies

More information

Design of Energy Aware Adder Circuits Considering Random Intra-Die Process Variations

Design of Energy Aware Adder Circuits Considering Random Intra-Die Process Variations J. Low Power Electron. Appl. 2011, 1, 97-108; doi:10.3390/jlpea1010097 Article Journal of Low Power Electronics and Applications ISSN 2079-9268 www.mdpi.com/journal/jlpea/ Design of Energy Aware Adder

More information

POWER GATING. Power-gating parameters

POWER GATING. Power-gating parameters POWER GATING Power Gating is effective for reducing leakage power [3]. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

Yield-driven Robust Iterative Circuit Optimization

Yield-driven Robust Iterative Circuit Optimization Yield-driven Robust Iterative Circuit Optimization Yan Li, Vladimir Stojanovic July 29, 2009 Integrated System Group Massachusetts Institute of Technology Systems-on-chip is difficult to design Integrated

More information

Design of Signed Multiplier Using T-Flip Flop

Design of Signed Multiplier Using T-Flip Flop African Journal of Basic & Applied Sciences 9 (5): 279-285, 2017 ISSN 2079-2034 IDOSI Publications, 2017 DOI: 10.5829/idosi.ajbas.2017.279.285 Design of Signed Multiplier Using T-Flip Flop 1 2 S.V. Venu

More information

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Low Power System-On-Chip-Design Chapter 12: Physical Libraries 1 Low Power System-On-Chip-Design Chapter 12: Physical Libraries Friedemann Wesner 2 Outline Standard Cell Libraries Modeling of Standard Cell Libraries Isolation Cells Level Shifters Memories Power Gating

More information

Pushing Ultra-Low-Power Digital Circuits

Pushing Ultra-Low-Power Digital Circuits Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era David Bol Microelectronics Laboratory Ph.D public defense December 16, 2008 Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era

More information

MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng.

MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng. MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng., UCLA - http://nanocad.ee.ucla.edu/ 1 Outline Introduction

More information

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise?

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise? Power Supply Networs: Analysis and Synthesis What is Power Supply Noise? Problem: Degraded voltage level at the delivery point of the power/ground grid causes performance and/or functional failure Lower

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors T.N.Priyatharshne Prof. L. Raja, M.E, (Ph.D) A. Vinodhini ME VLSI DESIGN Professor, ECE DEPT ME VLSI DESIGN

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique

Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique Mansi Gangele 1, K.Pitambar Patra 2 *(Department Of

More information

Power Estimation. Naehyuck Chang Dept. of EECS/CSE Seoul National University

Power Estimation. Naehyuck Chang Dept. of EECS/CSE Seoul National University Power Estimation Naehyuck Chang Dept. of EECS/CSE Seoul National University naehyuck@snu.ac.kr 1 Contents Embedded Low-Power ELPL Laboratory SPICE power analysis Power estimation basics Signal probability

More information

Implementation of a High Speed and Power Efficient Reliable Multiplier Using Adaptive Hold Technique

Implementation of a High Speed and Power Efficient Reliable Multiplier Using Adaptive Hold Technique IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 10, Issue 6, Ver. III (Nov - Dec.2015), PP 27-33 www.iosrjournals.org Implementation of

More information

TECHNOLOGY scaling, aided by innovative circuit techniques,

TECHNOLOGY scaling, aided by innovative circuit techniques, 122 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 2, FEBRUARY 2006 Energy Optimization of Pipelined Digital Systems Using Circuit Sizing and Supply Scaling Hoang Q. Dao,

More information

Distributed Collaborative Path Planning in Sensor Networks with Multiple Mobile Sensor Nodes

Distributed Collaborative Path Planning in Sensor Networks with Multiple Mobile Sensor Nodes 7th Mediterranean Conference on Control & Automation Makedonia Palace, Thessaloniki, Greece June 4-6, 009 Distributed Collaborative Path Planning in Sensor Networks with Multiple Mobile Sensor Nodes Theofanis

More information

ERROR-RESILIENT LOW-POWER VITERBI DECODERS VIA STATE CLUSTERING. Rami A. Abdallah and Naresh R. Shanbhag

ERROR-RESILIENT LOW-POWER VITERBI DECODERS VIA STATE CLUSTERING. Rami A. Abdallah and Naresh R. Shanbhag ERROR-RESILIENT LOW-POWER VITERBI DECODERS VIA STATE CLUSTERING Rami A. Abdallah and Naresh R. Shanbhag Coordinated Science Laboratory/ECE Department University of Illinois at Urbana-Champaign 1308 W Main

More information

Physical Synthesis of Bus Matrix for High Bandwidth Low Power On-chip Communications

Physical Synthesis of Bus Matrix for High Bandwidth Low Power On-chip Communications Physical Synthesis of Bus Matrix for High Bandwidth Low Power On-chip Communications Renshen Wang 1, Evangeline Young 2, Ronald Graham 1 and Chung-Kuan Cheng 1 1 University of California San Diego 2 The

More information

Ruixing Yang

Ruixing Yang Design of the Power Switching Network Ruixing Yang 15.01.2009 Outline Power Gating implementation styles Sleep transistor power network synthesis Wakeup in-rush current control Wakeup and sleep latency

More information

Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL)

Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL) International Journal of Electronics Engineering, (1), 010, pp. 19-3 Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL) Ashutosh Nandi 1, Gaurav Saini, Amit Kumar Jaiswal

More information

Zhan Chen and Israel Koren. University of Massachusetts, Amherst, MA 01003, USA. Abstract

Zhan Chen and Israel Koren. University of Massachusetts, Amherst, MA 01003, USA. Abstract Layer Assignment for Yield Enhancement Zhan Chen and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 0003, USA Abstract In this paper, two algorithms

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 Introduction There are many possible facts because of which the power efficiency is becoming important consideration. The most portable systems used in recent era, which are

More information

Reducing Transistor Variability For High Performance Low Power Chips

Reducing Transistor Variability For High Performance Low Power Chips Reducing Transistor Variability For High Performance Low Power Chips HOT Chips 24 Dr Robert Rogenmoser Senior Vice President Product Development & Engineering 1 HotChips 2012 Copyright 2011 SuVolta, Inc.

More information

19. Design for Low Power

19. Design for Low Power 19. Design for Low Power Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 November 8, 2017 ECE Department, University of Texas at

More information

VARIATION-TOLERANT MOTION ESTIMATION ARCHITECTURE. Girish V. Varatkar and Naresh R. Shanbhag

VARIATION-TOLERANT MOTION ESTIMATION ARCHITECTURE. Girish V. Varatkar and Naresh R. Shanbhag VARIATION-TOLERANT MOTION ESTIMATION ARCHITECTURE Girish V. Varatkar and Naresh R. Shanbhag Coordinated Science Laboratory/ECE Department University of Illinois at Urbana-Champaign 138 W Main St., Urbana

More information

CMOS Process Variations: A Critical Operation Point Hypothesis

CMOS Process Variations: A Critical Operation Point Hypothesis CMOS Process Variations: A Critical Operation Point Hypothesis Janak H. Patel Department of Electrical and Computer Engineering University of Illinois at Urbana-Champaign jhpatel@uiuc.edu Computer Systems

More information

Evaluation of Voltage Interpolation to Address Process Variations

Evaluation of Voltage Interpolation to Address Process Variations Evaluation of Voltage Interpolation to Address Process Variations Kevin Brownell, Gu-Yeon Wei, David Brooks School of Engineering and Applied Sciences Harvard University Cambridge, MA 238 Email: {brownell,

More information

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) CSE 493/593 Test 2 Fall 2011 Solution 1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) Decreasing of W to make the gate slower,

More information

Surveillance strategies for autonomous mobile robots. Nicola Basilico Department of Computer Science University of Milan

Surveillance strategies for autonomous mobile robots. Nicola Basilico Department of Computer Science University of Milan Surveillance strategies for autonomous mobile robots Nicola Basilico Department of Computer Science University of Milan Intelligence, surveillance, and reconnaissance (ISR) with autonomous UAVs ISR defines

More information

Transactions Briefs. Design of Voltage Overscaled Low-Power Trellis Decoders in Presence of Process Variations. Yang Liu, Tong Zhang, and Jiang Hu

Transactions Briefs. Design of Voltage Overscaled Low-Power Trellis Decoders in Presence of Process Variations. Yang Liu, Tong Zhang, and Jiang Hu IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 17, NO. 3, MARCH 2009 439 Transactions Briefs Design of Voltage Overscaled Low-Power Trellis Decoders in Presence of Process Variations

More information

Approximating Computation and Data for Energy Efficiency

Approximating Computation and Data for Energy Efficiency Approximating Computation and Data for Energy Efficiency Daniele Jahier Pagliari EDA Group Politecnico di Torino Torino, Italy 1st IWES September 20th, 2016, Pisa, Italy Outline Error Tolerance and Approximate

More information

Testing Digital Systems II

Testing Digital Systems II Lecture : Introduction Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture Today s Lecture Logistics Course Outline Review from TDS I Copyright 206, M. Tahoori TDS II: Lecture 2 Lecture Logistics

More information

Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage

Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage Rizwan A. Ashraf, A. Al-Zahrani, and Ronald F. DeMara Department of Electrical Engineering and Computer Science

More information

High-Performance Pipelined Architecture of Elliptic Curve Scalar Multiplication Over GF(2 m )

High-Performance Pipelined Architecture of Elliptic Curve Scalar Multiplication Over GF(2 m ) High-Performance Pipelined Architecture of Elliptic Curve Scalar Multiplication Over GF(2 m ) Abstract: This paper proposes an efficient pipelined architecture of elliptic curve scalar multiplication (ECSM)

More information

Geared Oscillator Project Final Design Review. Nick Edwards Richard Wright

Geared Oscillator Project Final Design Review. Nick Edwards Richard Wright Geared Oscillator Project Final Design Review Nick Edwards Richard Wright This paper outlines the implementation and results of a variable-rate oscillating clock supply. The circuit is designed using a

More information

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 5 Ver. II (Sep Oct. 2015), PP 109-115 www.iosrjournals.org Reduce Power Consumption

More information

EC O4 403 DIGITAL ELECTRONICS

EC O4 403 DIGITAL ELECTRONICS EC O4 403 DIGITAL ELECTRONICS Asynchronous Sequential Circuits - II 6/3/2010 P. Suresh Nair AMIE, ME(AE), (PhD) AP & Head, ECE Department DEPT. OF ELECTONICS AND COMMUNICATION MEA ENGINEERING COLLEGE Page2

More information

NBTI and Process Variation Circuit Design Using Adaptive Body Biasing

NBTI and Process Variation Circuit Design Using Adaptive Body Biasing IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 2, Ver. III (Mar-Apr. 2014), PP 91-98 e-issn: 2319 4200, p-issn No. : 2319 4197 NBTI and Process Variation Circuit Design Using Adaptive

More information

Statistical Timing Analysis of Asynchronous Circuits Using Logic Simulator

Statistical Timing Analysis of Asynchronous Circuits Using Logic Simulator ELECTRONICS, VOL. 13, NO. 1, JUNE 2009 37 Statistical Timing Analysis of Asynchronous Circuits Using Logic Simulator Miljana Lj. Sokolović and Vančo B. Litovski Abstract The lack of methods and tools for

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

Integrated Design & Test: Conquering the Conflicting Requirements of Low-Power, Variation-Tolerance, and Test Cost

Integrated Design & Test: Conquering the Conflicting Requirements of Low-Power, Variation-Tolerance, and Test Cost 2011 Asian Test Symposium Integrated Design & Test: Conquering the Conflicting Requirements of Low-Power, Variation-Tolerance, and Test Cost Ashish Goel, Swaroop Ghosh, Mesut Meterelliyoz, Jeff Parkhurst

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

EE 330 Lecture 44. Digital Circuits. Ring Oscillators Sequential Logic Array Logic Memory Arrays. Final: Tuesday May 2 7:30-9:30

EE 330 Lecture 44. Digital Circuits. Ring Oscillators Sequential Logic Array Logic Memory Arrays. Final: Tuesday May 2 7:30-9:30 EE 330 Lecture 44 igital Circuits Ring Oscillators Sequential Logic Array Logic Memory Arrays Final: Tuesday May 2 7:30-9:30 Review from Last Time ynamic Logic Basic ynamic Logic Gate V F A n PN Any of

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

Training Programme. 1. LTE Planning Overview. 2. Modelling a LTE Network. 3. LTE Predictions. 4. Frequency and PCI Plan Analysis

Training Programme. 1. LTE Planning Overview. 2. Modelling a LTE Network. 3. LTE Predictions. 4. Frequency and PCI Plan Analysis ATOLL LTE FEATURES Training Programme 1. LTE Planning Overview 2. Modelling a LTE Network 3. LTE Predictions 4. Frequency and PCI Plan Analysis 5. Monte-Carlo Based Simulations Slide 2 of 82 1. LTE Planning

More information

IDDQ and Diagnosis. Outline. I DDQ and Diagnosis. Introduction. Definition of Diagnosis. Why Diagnosis? Test and Diagnosis Flow

IDDQ and Diagnosis. Outline. I DDQ and Diagnosis. Introduction. Definition of Diagnosis. Why Diagnosis? Test and Diagnosis Flow Center for RC eliable omputing I and Diagnosis Stanford University ugust 16, 1999 Outline Introduction oolean Diagnosis ridging Fault Diagnosis Problems I Diagnosis Future Research Topics Summary 1 2 Introduction

More information

Design of Baugh Wooley Multiplier with Adaptive Hold Logic. M.Kavia, V.Meenakshi

Design of Baugh Wooley Multiplier with Adaptive Hold Logic. M.Kavia, V.Meenakshi International Journal of Scientific & Engineering Research, Volume 6, Issue 4, April-2015 105 Design of Baugh Wooley Multiplier with Adaptive Hold Logic M.Kavia, V.Meenakshi Abstract Mostly, the overall

More information

Jie Wu and Mihaela Cardei

Jie Wu and Mihaela Cardei Int. J. Ad Hoc and Ubiquitous Computing, Vol. 4, Nos. 3/4, 2009 137 Energy-efficient connected coverage of discrete targets in wireless sensor networks Mingming Lu* Department of Computer Science, Central

More information

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University. EE 434 ASIC and Digital Systems Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries VLSI Design System Specification Functional Design RTL

More information