Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing *

Size: px
Start display at page:

Download "Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing *"

Transcription

1 Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing * Radu Teodorescu, Jun Nakano, Abhishek Tiwari and Josep Torrellas University of Illinois at Urbana-Champaign * to appear in MICRO-40, December 2007

2 Motivation Technology scaling continues More and more transistors every generation! However... Chips are increasingly affected by parameter variation Intel Corp. 2

3 4+ Parameter Variation F G??F Process variation Manufacturing at low feature sizes Temperature variation "#.+ "B5 Uneven activity distribution "()*#:+C$ "#-#D9: Supply voltage variation IR drop, di/dt noise "#.+ "B5 "()*#:+C$ "#-#D9: Intel Corp. A? " 3

4 Effects of Parameter Variation Higher power consumption Lower frequency Uncertainty in the design process 4

5 Outline A Model of Process Variation Dynamic Fine-Grain Body Biasing Evaluation Conclusions 5

6 Outline A Model of Process Variation Dynamic Fine-Grain Body Biasing Evaluation Conclusions 6

7 A Model For Process Variation Fast, simple and parameterizable model We model two key process parameters: Transistor critical dimension (Leff) and threshold voltage (Vth) We also model temperature effects 7

8 Variation Components Granularity: Within die Die-to-die Within die Die-to-die WID variation: Systematic variation Random variation 8

9 A Model For Process Variation Variation in any parameter P: ΔP = ΔPD2D + ΔPWID = ΔPD2D + ΔPrand + ΔPsys We focus on WID variation D2D is a chip-wide offset to ΔPWID Random and systematic components Modeled as normal distributions Treated separately - impact different levels of the microarchitecture 9

10 Systematic Variation We divide the chip into a grid of points Each point has one random value of ΔPsys Multivariate normal distribution (μsys=0, σsys) Characterized by a correlation function: Px r corr(p x, P y ) = ρ(r) ; r = x y Py Correlation is position independent and isotropic For ρ(r) we choose the spherical model 10

11 Spherical Model ρ(r) 1 ρ(r) = 1 3r 2φ + r3 2φ 3 : (r φ) 0 : otherwise 0 0 φ r Stronger correlation Weaker correlation Px Py r Px r Py Matches measured data [Friedberg et al. 05] 11

12 Random Variation Random variation - transistor level We model it analytically as a normal distribution Both ΔPrand and ΔPsys are normal and independent with σrand and σsys σ total = σ 2 rand + σ2 sys 12

13 Outline A Model of Process Variation Dynamic Fine-Grain Body Biasing Evaluation Conclusions 13

14 Body Biasing Well known technique for Vth control A voltage is applied between source/drain and substrate of a transistor Forward body bias Reverse body bias FBB - Vth - Freq - Leak RBB - Vth - Freq - Leak Useful knob to control frequency and leakage 14

15 Body Bias Design Space Space Time Static BB fixed for chip lifetime Simple adaptation FBB in active mode RBB in standby Dynamic BB changes with T and workload Chip-wide D2D variation D2D variation, power, performance [Intel Xscale] [Intel s 80-core chip] Fine-grain WID variation WID variation, power, performance WID variation T variation (space and time) [Tschanz et al] 15

16 Body Bias Design Space Space Time Static BB fixed for chip lifetime Simple adaptation FBB in active mode RBB in standby Dynamic BB changes with T and workload Chip-wide D2D variation D2D variation, power, performance [Intel Xscale] [Intel s 80-core chip] Fine-grain S-FGBB WID variation WID variation, power, performance WID variation T variation (space and time) [Tschanz et al] 15

17 Body Bias Design Space Space Time Static BB fixed for chip lifetime Simple adaptation FBB in active mode RBB in standby Dynamic BB changes with T and workload Chip-wide D2D variation D2D variation, power, performance [Intel Xscale] [Intel s 80-core chip] Fine-grain S-FGBB WID variation WID variation, power, performance WID variation T D-FGBB variation (space and time) [Tschanz et al] 15

18 Motivation for D-FGBB Body bias trades off frequency for leakage Optimal body bias: The lowest FBB or highest RBB s.t. circuit delay meets frequency target Relative Switching Frequency Vth = 0.120V Vth = 0.135V Vth = 0.150V Vth = 0.165V Vth = 0.180V Circuit delay changes with temperature Therefore optimal BB changes with temperature Temperature (C) 16

19 Motivation for D-FGBB Body bias trades off frequency for leakage Optimal body bias: The lowest FBB or highest RBB s.t. circuit delay The meets goal of frequency D-FGBB is to keep the target body bias optimal as T changes Relative Switching Frequency Vth = 0.120V Vth = 0.135V Vth = 0.150V Vth = 0.165V Vth = 0.180V Circuit delay changes with temperature Therefore optimal BB changes with temperature Temperature (C) 16

20 Finding the Optimal BB Measure the delay of each BB cell Critical path replicas to sample cell delay Phase detector times the critical path replica If slow - FBB signal raised If fast - RBB signal raised CLK Critical Path Replica extra delay Phase Detector slow fast FBB RBB Sample Point 17

21 Applying Fine Grain BB RBB RBB FBB FBB Sample Points FBB FBB RBB RBB AND DEC Local Bias Generator N-CNT D2A NMOS V bb OR INC P-CNT D2A PMOS V bb RBB RBB FBB FBB FBB FBB RBB RBB Body Bias Cell 18

22 Applying Fine Grain BB RBB RBB FBB FBB Sample Points FBB FBB RBB RBB AND DEC Local Bias Generator N-CNT D2A NMOS V bb OR INC P-CNT D2A PMOS V bb RBB RBB FBB FBB FBB FBB RBB RBB Body Bias Cell 18

23 Applying Fine Grain BB RBB RBB FBB FBB Sample Points FBB FBB RBB RBB AND DEC Local Bias Generator N-CNT D2A NMOS V bb OR INC P-CNT D2A PMOS V bb RBB RBB FBB FBB FBB FBB RBB RBB Body Bias Cell 18

24 Applications of D-FGBB Operating environments Normal High Performance Low Power S-FGBB Improve chip operating point Improve chip operating point Save leakage power D-FGBB Save leakage power Increase average frequency Save leakage power 19

25 Applications of D-FGBB Operating environments Normal High Performance Low Power S-FGBB Improve chip operating point Improve chip operating point Save leakage power D-FGBB Save leakage power Increase average frequency Save leakage power 20

26 Improving a Chip s Operating Point Acceptable region NoBB High power frequency Leakage power limit 21 Low frequency leakage (a) Figure 10.

27 Improving a Chip s Operating Point Post-manufacturing calibration phase: 1. Bring chip to Tcal 2. Set target frequency Fcal 0, and run at full load 3. BB is adjusted automatically 4. Measure total power Pcal: if Pcal<Ptarget, Fcal 1 =Fcal 0 ++, else Fcal 1 =Fcal Repeat if needed, until Pcal Ptarget Fcal i becomes the chip s frequency 22

28 D-FGBB Adapts to Changes in T Calibration temperature Tcal is conservative Average T much lower: Tcal 100 Tmax Tavg Temperature (C) IntQ IntReg LdStQ IntExec IntMap DTB ITB FPQ FPReg FPMap Bpred FPAdd FPMul Dcache Icache L2Cache Functional Units 23

29 D-FGBB Saves Leakage Power S-FGBB finds and sets Fcal D-FGBB adjusts dynamically to T changes to save power while running at Fcal S-FGBB at Tavg Fcal S-FGBB at Tcal Frequency D-FGBB at Tavg Original chip Leakage limit at Tcal Leakage 24

30 Applications of D-FGBB Operating environments Normal High Performance Low Power S-FGBB Improve chip operating point Improve chip operating point Save leakage power D-FGBB Save leakage power Increase average frequency Save leakage power 25

31 D-FGBB Improves Performance Average power Pavg<Pmax D-FGBB is used to push the chip to Favg>Fcal, as long as P<Pmax S-FGBB at Tavg D-FGBB at Tavg Fcal S-FGBB at Tcal Frequency Original chip Leakage limit at Tcal Leakage 26

32 Applications of D-FGBB Operating environments Normal High Performance Low Power S-FGBB Improve chip operating point Improve chip operating point Save leakage power D-FGBB Save leakage power Increase average frequency Save leakage power 27

33 D-FGBB Saves Leakage Power The chip runs at its original Forig D-FGBB adjusts dynamically to T changes to save power while running at Forig Frequency D-FGBB at Tavg Forig Original chip Leakage limit at Tcal Leakage 28

34 Outline A Model of Process Variation Dynamic Fine-Grain Body Biasing Evaluation Conclusions 29

35 Evaluation Infrastructure Statistical package R to generate variation maps for 200 chips SESC - cycle accurate microarchitectural simulator - execution time, dynamic power Mix of SPECint and SPECfp benchmarks HotLeakage, SPICE model - leakage power Hotspot - temperature estimation 30

36 Evaluation Infrastructure HotLeakage BSIM3 SPICE T leakage power F R statistical package Variation model, BB leakage power under variation SESC Simulator dynamic power Hotspot CMP Floorplan Frequency, power of each chip, under variation 31

37 Evaluation Methodology 4-core CMP, based on Alpha nm technology, 4GHz Vth variation: σvth/μvth= , σsys=σrand Leff variation σleff= σvth/2 Vdd=1V, Vth0=150mV, Vbb= ±500mV 32

38 CMP Architecture L2 Cache FPQ FPMap FPMul FPAdd FPReg Bpred IntMap IntQ IntReg IntExec LdSTQ ITB DTB ICache DCache (a) CMP with a detailed processor 33

39 Body Bias Cells We partition each core into BB cells Shapes and sizes follow functional units FGBB16 FGBB64 FGBB144 34

40 Variation Impact Frequency Vth σ/μ (a) φ=0.1 φ=0.2 φ=0.5 Leakage Power Vth σ/μ (b) 35

41 Applications of D-FGBB Operating environments Normal High Performance Low Power S-FGBB Improve chip operating point Improve chip operating point Save leakage power D-FGBB Save leakage power Increase average frequency Save leakage power 36

42 S-FGBB Improves the Chip s Operating Point BB1 NoBB S-FGBB16 S-FGBB64 S-FGBB144 frequency % % % % % % age leakage leakage leakage leakage (a) (c) (d) (e) Figure 10. kage power for a batch of 200 chips at T cal and full load under various schemes. 37 would include the NoVar chip, which is point (1,1). We then arbitrarily set the horizontal line to 0.85 of the frequency of the NoVar chip, and divide the range into four equally-spaced frequency bins. As a fraction of the NoVar frequency, the ranges of the bins are: , , , and over These bins are in the ballpark of those used in commercial processors.

43 D-FGBB Reduces Leakage Leakage Power D-FGBB Number of BB Cells (a) Total Power NoBB S-FGBB D-FGBB Large leakage reduction after binning: 28-42% More BB cells result in higher savings 38

44 Applications of D-FGBB Operating environments Normal High Performance Low Power S-FGBB Improve chip operating point Improve chip operating point Save leakage power D-FGBB Save leakage power Increase average frequency Save leakage power 39

45 D-FGBB Improves Frequency Frequency Number of BB Cells NoBB S-FGBB D-FGBB ure 15. Average frequency of the chips for different (a) Average frequency improvement 7-9% over S- FGBB and 7-16% over NoBB More BB cells result in higher increase 40

46 Power Cost Total Power Number of BB Cells Pmax NoBB S-FGBB D-FGBB re 18. Total power of the chips for different FGBB sch Significant power cost, but still within the power budget 41

47 Applications of D-FGBB Operating environments Normal High Performance Low Power S-FGBB Improve chip operating point Improve chip operating point Save leakage power D-FGBB Save leakage power Increase average frequency Save leakage power 42

48 he more aggressive BB voltage needed ces higher leakage power. The resultand D-FGBB is shown in Figure 18. cost, this mode of operation D-FGBB is only apssible performance is needed. 43 Leakage Power NoBB S-FGBB D-FGBB Number of BB Cells (a) r of BB CellsLarge leakage reduction at constant frequency: f the chips for different FGBB schemes. GBB Reduces Leakage Total Power 0.2 More BB cells result in higher savings 0 69% compared to NoBB. Even w saves substantial leakage. Figure 19(b) shows the total ent FGBB schemes, DVS, and D- by D-FGBB are still large. Spec tal power consumption by 6 19% bined with DVS, D-FGBB+DVS by 15 36% compared to S-FGBB D-FGBB Reduces Leakage Leakage Power 0.4 NoBB S-FGBB D-FGBB 10-51% vs. S-FGBB and 12-69% vs NoBB Number of BB Cells

49 Combining D-FGBB with DVFS D-FGBB targets leakage power DVFS targets mostly dynamic power Can they be combined effectively? 44

50 Combining D-FGBB with DVFS Leakage Power NoBB D-FGBB1 D-FGBB16 BB16 D-FGBB64 D-FGBB V 0.8 V 0.6 V Vdd (a) Total Power Total Power V 0.8 V 0.6 V Vdd D-FGBB scales well with DVFS S-FGBB does not scale unless calibrated at multiple voltages (b) 45

51 Conclusions D-FGBB is an effective and versatile tool to address parameter variation We show three scenarios: Normal: 28-42% leakage savings vs. S-FGBB High performance: 7-9% frequency increase Low power: 10-51% leakage reduction vs. S-FGBB Combines well with DVFS 46

52 More in our MICRO 2007 paper More details on the variation model A solution for combining D-FGBB with DVS Estimated overheads of D-FGBB More implementation details Thank you! Questions? 47

Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing

Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing Radu Teodorescu, Jun Nakano, Abhishek Tiwari and Josep Torrellas University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu

More information

Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing

Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing Radu Teodorescu, Jun Nakano, Abhishek Tiwari and Josep Torrellas University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu

More information

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs 1 Outline Variations Process, supply voltage, and temperature

More information

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3 [Partly adapted from Irwin and Narayanan, and Nikolic] 1 Reminders CAD assignments Please submit CAD5 by tomorrow noon CAD6 is due

More information

Reducing Transistor Variability For High Performance Low Power Chips

Reducing Transistor Variability For High Performance Low Power Chips Reducing Transistor Variability For High Performance Low Power Chips HOT Chips 24 Dr Robert Rogenmoser Senior Vice President Product Development & Engineering 1 HotChips 2012 Copyright 2011 SuVolta, Inc.

More information

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 5 Ver. II (Sep Oct. 2015), PP 109-115 www.iosrjournals.org Reduce Power Consumption

More information

Integrating Dynamic Voltage/Frequency Scaling and Adaptive Body Biasing using Test-time Voltage Selection

Integrating Dynamic Voltage/Frequency Scaling and Adaptive Body Biasing using Test-time Voltage Selection Integrating Voltage/Frequency Scaling and Adaptive Body Biasing using Test-time Voltage Selection ABSTRACT Alyssa Bonnoit abonnoit@ece.cmu.edu Diana Marculescu dianam@ece.cmu.edu Adaptive body biasing

More information

Balancing Resource Utilization to Mitigate Power Density in Processor Pipelines

Balancing Resource Utilization to Mitigate Power Density in Processor Pipelines Balancing Resource Utilization to Mitigate Power Density in Processor Pipelines Michael D. Powell, Ethan Schuchman and T. N. Vijaykumar School of Electrical and Computer Engineering, Purdue University

More information

Dynamic thermal management for 3D multicore processors under process variations

Dynamic thermal management for 3D multicore processors under process variations LETTER Dynamic thermal management for 3D multicore processors under process variations Hyejeong Hong, Jaeil Lim, Hyunyul Lim, and Sungho Kang a) School of Electrical and Electronic Engineering, Yonsei

More information

Hybrid Architectural Dynamic Thermal Management

Hybrid Architectural Dynamic Thermal Management Hybrid Architectural Dynamic Thermal Management Kevin Skadron Department of Computer Science, University of Virginia Charlottesville, VA 22904 skadron@cs.virginia.edu Abstract When an application or external

More information

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Microelectronics Journal 39 (2008) 1714 1727 www.elsevier.com/locate/mejo Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Ranjith Kumar, Volkan Kursun Department

More information

Ramya Srinivasan GLOBALFOUNDRIES 22FDX: Tempus Body-Bias Interpolation QoR. April

Ramya Srinivasan GLOBALFOUNDRIES 22FDX: Tempus Body-Bias Interpolation QoR. April Ramya Srinivasan GLOBALFOUNDRIES 22FDX: Tempus Body-Bias Interpolation QoR April 12 2017 22FDX: Tempus Body-Bias Interpolation QoR Presenter: Ramya Srinivasan Authors GLOBALFOUNDRIES: Haritez Narisetty

More information

Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability

Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability Islam A.K.M Mahfuzul Department of Communications and Computer Engineering Kyoto University mahfuz@vlsi.kuee.kyotou.ac.jp

More information

Evaluating Voltage Islands in CMPs under Process Variations

Evaluating Voltage Islands in CMPs under Process Variations Evaluating Voltage Islands in CMPs under Process Variations Abhishek Das, Serkan Ozdemir, Gokhan Memik, and Alok Choudhary Electrical Engineering and Computer Science Department Northwestern University,

More information

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation Maziar Goudarzi, Tohru Ishihara, Hiroto Yasuura System LSI Research Center Kyushu

More information

Ramon Canal NCD Master MIRI. NCD Master MIRI 1

Ramon Canal NCD Master MIRI. NCD Master MIRI 1 Wattch, Hotspot, Hotleakage, McPAT http://www.eecs.harvard.edu/~dbrooks/wattch-form.html http://lava.cs.virginia.edu/hotspot http://lava.cs.virginia.edu/hotleakage http://www.hpl.hp.com/research/mcpat/

More information

Mitigating the Effects of Process Variation in Ultra-low Voltage Chip Multiprocessors using Dual Supply Voltages and Half-Speed Stages

Mitigating the Effects of Process Variation in Ultra-low Voltage Chip Multiprocessors using Dual Supply Voltages and Half-Speed Stages Mitigating the Effects of Process Variation in Ultra-low Voltage Chip Multiprocessors using Dual Supply Voltages and Half-Speed Stages Timothy N. Miller, Renji Thomas, Radu Teodorescu Department of Computer

More information

COPING WITH PARAMETRIC VARIATION

COPING WITH PARAMETRIC VARIATION ... COPING WITH PARAMETRIC VARIATION AT NEAR-THRESHOLD VOLTAGES... NEAR-THRESHOLD VOLTAGE COMPUTING (NTC) PROMISES IMPROVED ENERGY EFFICIENCY BUT IS MORE SENSITIVE TO PARAMETRIC VARIATION THAN CONVENTIONAL,

More information

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Jan. 28. 2011 Nobuyuki Nishiguchi Semiconductor Technology Advanced Research Center (STARC) ASP-DAC

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

Pushing Ultra-Low-Power Digital Circuits

Pushing Ultra-Low-Power Digital Circuits Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era David Bol Microelectronics Laboratory Ph.D public defense December 16, 2008 Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era

More information

Ruixing Yang

Ruixing Yang Design of the Power Switching Network Ruixing Yang 15.01.2009 Outline Power Gating implementation styles Sleep transistor power network synthesis Wakeup in-rush current control Wakeup and sleep latency

More information

A Case for Opportunistic Embedded Sensing In Presence of Hardware Power Variability

A Case for Opportunistic Embedded Sensing In Presence of Hardware Power Variability A Case for Opportunistic Embedded Sensing In Presence of Hardware Power Variability L. Wanner, C. Apte, R. Balani, Puneet Gupta, and Mani Srivastava University of California, Los Angeles puneet@ee.ucla.edu

More information

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies Low-Power and Process Variation Tolerant Memories in sub-9nm Technologies Saibal Mukhopadhyay, Swaroop Ghosh, Keejong Kim, and Kaushik Roy Dept. of ECE, Purdue University, West Lafayette, IN, @ecn.purdue.edu

More information

Cherry Picking: Exploiting Process Variations in the Dark Silicon Era

Cherry Picking: Exploiting Process Variations in the Dark Silicon Era Cherry Picking: Exploiting Process Variations in the Dark Silicon Era Siddharth Garg University of Waterloo Co-authors: Bharathwaj Raghunathan, Yatish Turakhia and Diana Marculescu # Transistors Power/Dark

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

Characterization and Variation Modeling for 22FDX. Ning Jin Digital Design Methodology Team

Characterization and Variation Modeling for 22FDX. Ning Jin Digital Design Methodology Team Characterization and Variation Modeling for 22FDX Ning Jin Digital Design Methodology Team Agenda 1 2 3 4 Introduction to 22FDX Technology Library Characterization in Liberate and Variety Library Characterization

More information

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES R. C Ismail, S. A. Z Murad and M. N. M Isa School of Microelectronic Engineering, Universiti Malaysia Perlis, Arau, Perlis, Malaysia

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

Low Power Design in VLSI

Low Power Design in VLSI Low Power Design in VLSI Evolution in Power Dissipation: Why worry about power? Heat Dissipation source : arpa-esto microprocessor power dissipation DEC 21164 Computers Defined by Watts not MIPS: µwatt

More information

induced Aging g Co-optimization for Digital ICs

induced Aging g Co-optimization for Digital ICs International Workshop on Emerging g Circuits and Systems (2009) Leakage power and NBTI- induced Aging g Co-optimization for Digital ICs Yu Wang Assistant Prof. E.E. Dept, Tsinghua University, China On-going

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

LEAKAGE IN NANOMETER CMOS TECHNOLOGIES

LEAKAGE IN NANOMETER CMOS TECHNOLOGIES LEAKAGE IN NANOMETER CMOS TECHNOLOGIES SERIES ON INTEGRATED CIRCUITS AND SYSTEMS Anantha Chandrakasan, Editor Massachusetts Institute of Technology Cambridge, Massachusetts, USA Published books in the

More information

The BubbleWrap Many-Core: Popping Cores for Sequential Acceleration

The BubbleWrap Many-Core: Popping Cores for Sequential Acceleration The BubbleWrap Many-Core: Popping Cores for Sequential Acceleration Ulya R. Karpuzcu, Brian Greskamp, and Josep Torrellas University of Illinois at Urbana-Champaign rkarpu2, greskamp, torrella@illinois.edu

More information

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. Power and Energy Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu The Chip is HOT Power consumption increases

More information

Lecture 17: Process Variations. Changes in characteristics of devices and wires. Caused by IC manufacturing process & wear-out (electromigration).

Lecture 17: Process Variations. Changes in characteristics of devices and wires. Caused by IC manufacturing process & wear-out (electromigration). EE24 - Spring 2005 Advanced Digital Integrated Circuits Lecture 7: Process Variations Variability Sources Physical Changes in characteristics of devices and wires. Caused by IC manufacturing process &

More information

Leakage and Process Variation Effects in Current Testing on Future CMOS Circuits

Leakage and Process Variation Effects in Current Testing on Future CMOS Circuits Defect-Oriented Testing in the Deep-Submicron Era Leakage and Process Variation Effects in Current Testing on Future CMOS Circuits Ali Keshavarzi, James W. Tschanz, Siva Narendra, and Vivek De Intel Labs

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Evaluation of Voltage Interpolation to Address Process Variations

Evaluation of Voltage Interpolation to Address Process Variations Evaluation of Voltage Interpolation to Address Process Variations Kevin Brownell, Gu-Yeon Wei, David Brooks School of Engineering and Applied Sciences Harvard University Cambridge, MA 238 Email: {brownell,

More information

Lecture 17 Low-Power Design: Dynamic Body Bias Energy Recovery in CMOS SOI. Midterm project reports due this Friday

Lecture 17 Low-Power Design: Dynamic Body Bias Energy Recovery in CMOS SOI. Midterm project reports due this Friday EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 17 Low-Power Design: Dynamic Body Bias Energy Recovery in CMOS SOI Announcements Midterm project reports due this Friday

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Anjana R 1, Dr. Ajay kumar somkuwar 2 1 Asst.Prof & ECE, Laxmi Institute of Technology, Gujarat 2 Professor

More information

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems EDA Challenges for Low Power Design Anand Iyer, Cadence Design Systems Agenda Introduction ti LP techniques in detail Challenges to low power techniques Guidelines for choosing various techniques Why is

More information

An Overview of Static Power Dissipation

An Overview of Static Power Dissipation An Overview of Static Power Dissipation Jayanth Srinivasan 1 Introduction Power consumption is an increasingly important issue in general purpose processors, particularly in the mobile computing segment.

More information

Leakage Current Analysis

Leakage Current Analysis Current Analysis Hao Chen, Latriese Jackson, and Benjamin Choo ECE632 Fall 27 University of Virginia , , @virginia.edu Abstract Several common leakage current reduction methods such

More information

A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs

A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs 1 A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs Masaya Miyahara, Yusuke Asada, Daehwa Paik and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline 2 Motivation The Calibration

More information

POWER consumption has become a bottleneck in microprocessor

POWER consumption has become a bottleneck in microprocessor 746 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 15, NO. 7, JULY 2007 Variations-Aware Low-Power Design and Block Clustering With Voltage Scaling Navid Azizi, Student Member,

More information

Topics. Low Power Techniques. Based on Penn State CSE477 Lecture Notes 2002 M.J. Irwin and adapted from Digital Integrated Circuits 2002 J.

Topics. Low Power Techniques. Based on Penn State CSE477 Lecture Notes 2002 M.J. Irwin and adapted from Digital Integrated Circuits 2002 J. Topics Low Power Techniques Based on Penn State CSE477 Lecture Notes 2002 M.J. Irwin and adapted from Digital Integrated Circuits 2002 J. Rabaey Review: Energy & Power Equations E = C L V 2 DD P 0 1 +

More information

Impact of Process Variations on Multicore Performance Symmetry

Impact of Process Variations on Multicore Performance Symmetry Impact of Process Variations on Multicore Performance Symmetry Eric Humenay, David Tarjan, Kevin Skadron Dept. of Computer Science, University of Virginia Charlottesville, VA 22904 humenay@virginia.edu,

More information

José Monteiro, Rakesh Patel, Vivek Tiwari Published online on: 26 Apr 2016

José Monteiro, Rakesh Patel, Vivek Tiwari Published online on: 26 Apr 2016 This article was downloaded by: 10.3.98.93 On: 28 Mar 2019 Access details: subscription number Publisher: CRC Press Informa Ltd Registered in England and Wales Registered Number: 1072954 Registered office:

More information

CMOS Process Variations: A Critical Operation Point Hypothesis

CMOS Process Variations: A Critical Operation Point Hypothesis CMOS Process Variations: A Critical Operation Point Hypothesis Janak H. Patel Department of Electrical and Computer Engineering University of Illinois at Urbana-Champaign jhpatel@uiuc.edu Computer Systems

More information

Jan Rabaey, «Low Powere Design Essentials," Springer tml

Jan Rabaey, «Low Powere Design Essentials, Springer tml Jan Rabaey, «e Design Essentials," Springer 2009 http://web.me.com/janrabaey/lowpoweressentials/home.h tml Dimitrios Soudris, Christian Piguet, and Costas Goutis, Designing CMOS Circuits for Low POwer,

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Closing the Power Gap between ASIC and Custom: An ASIC Perspective

Closing the Power Gap between ASIC and Custom: An ASIC Perspective 16.1 Closing the Power Gap between ASIC and Custom: An ASIC Perspective D. G. Chinnery and K. Keutzer Department of Electrical Engineering and Computer Sciences University of California at Berkeley {chinnery,keutzer}@eecs.berkeley.edu

More information

SCALCORE: DESIGNING A CORE

SCALCORE: DESIGNING A CORE SCALCORE: DESIGNING A CORE FOR VOLTAGE SCALABILITY Bhargava Gopireddy, Choungki Song, Josep Torrellas, Nam Sung Kim, Aditya Agrawal, Asit Mishra University of Illinois, University of Wisconsin, Nvidia,

More information

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Christophe Giacomotto 1, Mandeep Singh 1, Milena Vratonjic 1, Vojin G. Oklobdzija 1 1 Advanced Computer systems Engineering Laboratory,

More information

Active Decap Design Considerations for Optimal Supply Noise Reduction

Active Decap Design Considerations for Optimal Supply Noise Reduction Active Decap Design Considerations for Optimal Supply Noise Reduction Xiongfei Meng and Resve Saleh Dept. of ECE, University of British Columbia, 356 Main Mall, Vancouver, BC, V6T Z4, Canada E-mail: {xmeng,

More information

Recovery Boosting: A Technique to Enhance NBTI Recovery in SRAM Arrays

Recovery Boosting: A Technique to Enhance NBTI Recovery in SRAM Arrays Recovery Boosting: A Technique to Enhance NBTI Recovery in SRAM Arrays Taniya Siddiqua and Sudhanva Gurumurthi Department of Computer Science University of Virginia Email: {taniya,gurumurthi}@cs.virginia.edu

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 1 M.Tech Student, Amity School of Engineering & Technology, India 2 Assistant Professor, Amity School of Engineering

More information

Perfect Error Compensation via Algorithmic Error Cancellation

Perfect Error Compensation via Algorithmic Error Cancellation Perfect Error Compensation via Algorithmic Error Cancellation Sujan K Gonugondla 1, Byonghyo Shim 2 and Naresh Shanbhag 1 1 Electrical and Computer Engineering, University Of Illinois Urbana-Champaign

More information

Incorporating Variability into Design

Incorporating Variability into Design Incorporating Variability into Design Jim Farrell, AMD Designing Robust Digital Circuits Workshop UC Berkeley 28 July 2006 Outline Motivation Hierarchy of Design tradeoffs Design Infrastructure for variability

More information

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique Total reduction of leakage power through combined effect of Sleep and variable body biasing technique Anjana R 1, Ajay kumar somkuwar 2 Abstract Leakage power consumption has become a major concern for

More information

VARIATION-TOLERANT MOTION ESTIMATION ARCHITECTURE. Girish V. Varatkar and Naresh R. Shanbhag

VARIATION-TOLERANT MOTION ESTIMATION ARCHITECTURE. Girish V. Varatkar and Naresh R. Shanbhag VARIATION-TOLERANT MOTION ESTIMATION ARCHITECTURE Girish V. Varatkar and Naresh R. Shanbhag Coordinated Science Laboratory/ECE Department University of Illinois at Urbana-Champaign 138 W Main St., Urbana

More information

Booster: Reactive Core Acceleration for Mitigating the Effects of Process Variation and Application Imbalance in Low-Voltage Chips

Booster: Reactive Core Acceleration for Mitigating the Effects of Process Variation and Application Imbalance in Low-Voltage Chips Booster: Reactive Core Acceleration for Mitigating the Effects of Process Variation and Application Imbalance in Low-Voltage Chips Timothy N. Miller, Xiang Pan, Renji Thomas, Naser Sedaghati, Radu Teodorescu

More information

EECS 427 Lecture 22: Low and Multiple-Vdd Design

EECS 427 Lecture 22: Low and Multiple-Vdd Design EECS 427 Lecture 22: Low and Multiple-Vdd Design Reading: 11.7.1 EECS 427 W07 Lecture 22 1 Last Time Low power ALUs Glitch power Clock gating Bus recoding The low power design space Dynamic vs static EECS

More information

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique Mohd Shahid M.Tech Student Al-Habeeb College of Engineering and Technology. Abstract Arithmetic logic unit (ALU) is an

More information

A 400 MHz 4.5 nw 63.8 dbm Sensitivity Wake-up Receiver Employing an Active Pseudo-Balun Envelope Detector

A 400 MHz 4.5 nw 63.8 dbm Sensitivity Wake-up Receiver Employing an Active Pseudo-Balun Envelope Detector A 400 MHz 4.5 nw 63.8 dbm Sensitivity Wake-up Receiver Employing an Active Pseudo-Balun Envelope Detector Po-Han Peter Wang, Haowei Jiang, Li Gao, Pinar Sen, Young-Han Kim, Gabriel M. Rebeiz, Patrick P.

More information

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Mr. Y.Satish Kumar M.tech Student, Siddhartha Institute of Technology & Sciences. Mr. G.Srinivas, M.Tech Associate

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

CS4617 Computer Architecture

CS4617 Computer Architecture 1/26 CS4617 Computer Architecture Lecture 2 Dr J Vaughan September 10, 2014 2/26 Amdahl s Law Speedup = Execution time for entire task without using enhancement Execution time for entire task using enhancement

More information

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP 1 B. Praveen Kumar, 2 G.Rajarajeshwari, 3 J.Anu Infancia 1, 2, 3 PG students / ECE, SNS College of Technology, Coimbatore, (India)

More information

19. Design for Low Power

19. Design for Low Power 19. Design for Low Power Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 November 8, 2017 ECE Department, University of Texas at

More information

A power-variation model for sensor node and the impact against life time of wireless sensor networks

A power-variation model for sensor node and the impact against life time of wireless sensor networks A power-variation model for sensor node and the impact against life time of wireless sensor networks Takashi Matsuda a), Takashi Takeuchi, Takefumi Aonishi, Masumi Ichien, Hiroshi Kawaguchi, Chikara Ohta,

More information

Lecture 13 CMOS Power Dissipation

Lecture 13 CMOS Power Dissipation EE 471: Transport Phenomena in Solid State Devices Spring 2018 Lecture 13 CMOS Power Dissipation Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology Hoboken,

More information

Enhancing Power, Performance, and Energy Efficiency in Chip Multiprocessors Exploiting Inverse Thermal Dependence

Enhancing Power, Performance, and Energy Efficiency in Chip Multiprocessors Exploiting Inverse Thermal Dependence 778 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 26, NO. 4, APRIL 2018 Enhancing Power, Performance, and Energy Efficiency in Chip Multiprocessors Exploiting Inverse Thermal Dependence

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Designing Tunable Subthreshold Logic Circuits Using Adaptive Feedback Equalization Mahmoud Zangeneh, Student Member, IEEE, and Ajay Joshi,

More information

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI A.Karthik 1, K.Manasa 2 Assistant Professor, Department of Electronics and Communication Engineering, Narsimha Reddy Engineering

More information

Lecture 10. Circuit Pitfalls

Lecture 10. Circuit Pitfalls Lecture 10 Circuit Pitfalls Intel Corporation jstinson@stanford.edu 1 Overview Reading Lev Signal and Power Network Integrity Chandrakasen Chapter 7 (Logic Families) and Chapter 8 (Dynamic logic) Gronowski

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Lecture 18 SOI Design Power Distribution. Midterm project reports due tomorrow. Please post links on your project web page

Lecture 18 SOI Design Power Distribution. Midterm project reports due tomorrow. Please post links on your project web page EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 18 SOI Design Power Distribution Announcements Midterm project reports due tomorrow Please post links on your project web

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 21, NO. 10, OCTOBER

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 21, NO. 10, OCTOBER IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 21, NO. 10, OCTOER 2013 1769 Enhancing the Efficiency of Energy-Constrained DVFS Designs Andrew. Kahng, Fellow, IEEE, Seokhyeong Kang,

More information

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Gaddam Sushil Raj B.Tech, Vardhaman College of Engineering. ABSTRACT: Arithmetic logic unit (ALU) is an important part of microprocessor. In

More information

A Static Power Model for Architects

A Static Power Model for Architects A Static Power Model for Architects J. Adam Butts and Guri Sohi University of Wisconsin-Madison {butts,sohi}@cs.wisc.edu 33rd International Symposium on Microarchitecture Monterey, California December,

More information

4 principal of JNTU college of Eng., JNTUH, Kukatpally, Hyderabad, A.P, INDIA

4 principal of JNTU college of Eng., JNTUH, Kukatpally, Hyderabad, A.P, INDIA Efficient Power Management Technique for Deep-Submicron Circuits P.Sreenivasulu 1, Ch.Aruna 2 Dr. K.Srinivasa Rao 3, Dr. A.Vinaya babu 4 1 Research Scholar, ECE Department, JNTU Kakinada, A.P, INDIA. 2

More information

MICROARCHITECTURAL LEVEL POWER ANALYSIS AND OPTIMIZATION IN SINGLE CHIP PARALLEL COMPUTERS. by Priyadarshini Ramachandran

MICROARCHITECTURAL LEVEL POWER ANALYSIS AND OPTIMIZATION IN SINGLE CHIP PARALLEL COMPUTERS. by Priyadarshini Ramachandran MICROARCHITECTURAL LEVEL POWER ANALYSIS AND OPTIMIZATION IN SINGLE CHIP PARALLEL COMPUTERS by Priyadarshini Ramachandran Thesis submitted to the faculty of the Virginia Polytechnic Institute and State

More information

II. PROPOSED ADAPTIVE BODY BIAS CIRCUIT

II. PROPOSED ADAPTIVE BODY BIAS CIRCUIT Process Aware Circuit Design Using Adaptive Body Biasing Raghvendra Chanpuriya, Anurag Shrivastava, Vijay K. Magraiya Department of EC SRCEM Banmore (M.P.) Abstract The process variation has become inevitable

More information

Low-Power CMOS VLSI Design

Low-Power CMOS VLSI Design Low-Power CMOS VLSI Design ( 范倫達 ), Ph. D. Department of Computer Science, National Chiao Tung University, Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.tw/~ldvan/ Outline Introduction

More information

Variation-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variation

Variation-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variation 2 IEEE Conference on Microelectronic Test Structures, April 4-7, Amsterdam, The Netherlands 8.2 Variation-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variation Islam A.K.M Mahfuzul,

More information

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Amber Path FX is a trusted analysis solution for designers trying to close on power, performance, yield and area in 40 nanometer processes

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications ABSTRACT Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications Abhishek Sharma,Gunakesh Sharma,Shipra ishra.tech. Embedded system & VLSI Design NIT,Gwalior.P. India

More information

CLIPPER: Counter-based Low Impact Processor Power Estimation at Run-time

CLIPPER: Counter-based Low Impact Processor Power Estimation at Run-time CLIPPER: Counter-based Low Impact Processor Power Estimation at Run-time Jorgen Peddersen, Sri Parameswaran School of Computer Science and Engineering The University of New South Wales & National ICT Australia

More information