Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing

Size: px
Start display at page:

Download "Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing"

Transcription

1 Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing Radu Teodorescu, Jun Nakano, Abhishek Tiwari and Josep Torrellas University of Illinois at Urbana-Champaign MICRO-40, Chicago, December 2007

2 Parameter variation: roadblock to scaling Process Variation Temperature Variation Supply Voltage Variation 2

3 Parameter variation: roadblock to scaling Process Variation Temperature Variation Within die (WID) Die-to-die (D2D) 2

4 Parameter variation: roadblock to scaling Proce 1.4 iation Within die (WID Normalized Frequency % 5X 130nm Normalized Leakage (Isb( Isb) [Shekhar Borkar, Intel Corp.] 2

5 Technology scaling faces a major roadblock Process Variation Temperature Variation Threshold Voltage (Vth) Chip frequency Chip leakage power 3

6 Body biasing Well known technique for Vth control A voltage is applied between source/drain and substrate of a transistor Forward body bias (FBB) Vth Freq Leak Reverse body bias (RBB) Vth Freq Leak Key knob to trade off frequency for leakage Frequency BB Leakage power Frequency DVFS Dynamic power 4

7 Body bias design space Space Time Static BB fixed for chip lifetime Dynamic BB changes with T and workload Chip-wide Fine-grain D2D Vth Variation [Intel Xscale] [Intel s 80-core chip] WID Vth Variation [Tschanz et al] D2D Vth Variation T Variation WID Vth Variation T Variation (space and time) 5

8 Body bias design space Space Time Static BB fixed for chip lifetime Dynamic BB changes with T and workload Chip-wide Fine-grain D2D Vth Variation [Intel Xscale] [Intel s 80-core chip] WID Vth Variation S-FGBB [Tschanz et al] D2D Vth Variation T Variation WID Vth Variation D-FGBBn (space and time) 5

9 Outline Background on S-FGBB Dynamic fine-grain body biasing (D-FGBB) Environments Evaluation Conclusions 6

10 Outline Background on S-FGBB Dynamic fine-grain body biasing (D-FGBB) Environments Evaluation Conclusions 7

11 Static fine-grain body biasing [Tschanz et al, ISSCC 2002] Vth variation Fine Grain Body Bias FBB RBB RBB RBB 8 The chip is divided in BB cells Slow cells receive FBB - increase speed Leaky cells receive RBB - save leakage The result is reduced WID variation (delay, power) BB voltages determined at manufacturing Fixed for the lifetime of the chip

12 Frequency binning Bin 1 Frequency Bin 2 Bin 3 Bin 4 High power Leakage power limit Leakage 9

13 Frequency binning Bin 1 Frequency Bin 2 Bin 3 Bin 4 High power Leakage power limit Leakage 9

14 Frequency binning Bin 1 Frequency Bin 2 Bin 3 Bin 4 High power Leakage power limit Leakage 9

15 Frequency binning Bin 1 Frequency Bin 2 Bin 3 Bin 4 High power Leakage power limit Leakage 9

16 Frequency binning Bin 1 Frequency Bin 2 Bin 3 Bin 4 High power Leakage power limit Leakage 9

17 Calibration after manufacturing Calibration conditions (Tcal, Pmax) Calibration takes place at maximum temperature Tcal (burn-in oven) Frequency Forig Original chip Power limit Leakage 10

18 Calibration after manufacturing Calibration conditions (Tcal, Pmax) Calibration takes place at maximum temperature Tcal (burn-in oven) Frequency P <Pmax Forig Original chip Power limit Leakage 10

19 Calibration after manufacturing Calibration conditions (Tcal, Pmax) Calibration takes place at maximum temperature Tcal (burn-in oven) Frequency Fcal P Pmax F cal becomes the chip s frequency Forig Original chip Power limit Leakage 10

20 Outline Background on S-FGBB Dynamic fine-grain body biasing (D-FGBB) Environments Evaluation Conclusions 11

21 Motivation for D-FGBB Significant temperature variation: Space: across different functional units, on chip Time: as the activity factor of the workload changes Between average and worst case conditions (Tcal) D-FGBB can exploit this temperature variation Adapt the body bias to changing conditions 12

22 Motivation for D-FGBB Optimal body bias: The body bias than minimizes leakage power at the target frequency Circuit delay changes with temperature Therefore optimal BB changes with temperature The goal of D-FGBB is to keep the body bias optimal as T changes 13

23 Finding the optimal BB Measure the delay of each BB domain (cell) Delay sampling circuit: CLK Critical Path Replica Phase Detector FBB RBB delay sampling circuit Phase detector - measures delay of critical path replica If slow - FBB signal raised If fast - RBB signal raised 14

24 Applying dynamic fine-grain BB BB is determined based on feedback from delay samples Sample Points RBB FBB FBB RBB AND DEC Local Bias Generator N-CNT D2A NMOS V bb OR INC P-CNT D2A PMOS V bb RBB FBB FBB RBB Body Bias Cell 15

25 Applying dynamic fine-grain BB BB is determined based on feedback from delay samples Sample Points RBB FBB FBB RBB AND DEC Local Bias Generator N-CNT D2A NMOS V bb OR INC P-CNT D2A PMOS V bb RBB FBB FBB RBB Body Bias Cell 15

26 Applying dynamic fine-grain BB BB is determined based on feedback from delay samples Sample Points RBB FBB FBB RBB AND DEC Local Bias Generator N-CNT D2A NMOS V bb OR INC P-CNT D2A PMOS V bb RBB FBB FBB RBB Body Bias Cell 15

27 Applying dynamic fine-grain BB BB is determined based on feedback from delay samples Sample Points RBB FBB FBB RBB AND DEC Local Bias Generator N-CNT D2A NMOS V bb OR INC P-CNT D2A PMOS V bb RBB FBB FBB RBB Body Bias Cell 15

28 Applying dynamic fine-grain BB BB is determined based on feedback from delay samples Sample Points RBB FBB FBB RBB AND DEC Local Bias Generator N-CNT D2A NMOS V bb OR INC P-CNT D2A PMOS V bb RBB FBB FBB RBB Body Bias Cell The BB changes until optimal delay is reached BB stays constant, until T conditions change again 15

29 Outline Background on S-FGBB Dynamic fine-grain body biasing (D-FGBB) Environments Evaluation Conclusions 16

30 D-FGBB environments Operating environments Standard D-FGBB Minimize leakage power at Fcal High performance Maximize average frequency Low Power Minimize leakage power at Forig 17

31 D-FGBB environments Operating environments Standard D-FGBB Minimize leakage power at Fcal High performance Maximize average frequency Low Power Minimize leakage power at Forig 18

32 Standard environment Calibration conditions (Tcal, Pmax) S-FGBB finds and sets Fcal Frequency Fcal Original chip Power limit Leakage 19

33 Standard environment Calibration conditions (Tcal, Pmax) S-FGBB finds and sets Fcal Frequency Fcal Original chip Power limit Leakage 19

34 Standard environment Average conditions (Tavg, Pavg) S-FGBB finds and sets Fcal Frequency Fcal S-FGBB at Tavg Original chip Power limit Leakage 19

35 Standard environment Average conditions (Tavg, Pavg) D-FGBB at Tavg S-FGBB finds and sets Fcal Frequency Fcal S-FGBB at Tavg Original chip Power limit Leakage 19

36 Standard environment Average conditions (Tavg, Pavg) D-FGBB at Tavg S-FGBB finds and sets Fcal Frequency Fcal S-FGBB at Tavg D-FGBB saves leakage power compared to S-FGBB at Fcal Original chip Power limit Leakage 19

37 D-FGBB environments Operating environments Standard D-FGBB Minimize leakage power at Fcal High performance Maximize average frequency Low Power Minimize leakage power at Forig 20

38 High performance Calibration conditions (Tcal, Pmax) Average power Pavg<<Pmax Frequency Fcal S-FGBB at Tcal Original chip Power limit Leakage 21

39 High performance Average conditions (Tavg, Pavg) Average power Pavg<<Pmax Frequency Fcal S-FGBB at Tavg S-FGBB at Tcal Original chip Power limit Leakage 21

40 High performance Favg Average conditions (Tavg, Pavg) D-FGBB Average power Pavg<<Pmax Frequency Fcal S-FGBB at Tavg S-FGBB at Tcal Original chip Power limit Leakage 21

41 High performance Favg Average conditions (Tavg, Pavg) D-FGBB Average power Pavg<<Pmax Frequency Fcal S-FGBB at Tavg S-FGBB at Tcal D-FGBB improves average frequency Original chip Power limit Leakage 21

42 D-FGBB environments Operating environments Standard D-FGBB Minimize leakage power at Fcal High performance Maximize average frequency Low Power Minimize leakage power at Forig 22

43 Low power Average conditions (Tavg, Pavg) The chip runs at its original frequency (Forig) Frequency Fcal Forig Original chip Power limit Leakage 23

44 Low power Average conditions (Tavg, Pavg) The chip runs at its original frequency (Forig) Frequency Fcal Forig D-FGBB S-FGBB Power limit Leakage 23

45 Low power Average conditions (Tavg, Pavg) The chip runs at its original frequency (Forig) Frequency Fcal D-FGBB S-FGBB D-FGBB saves leakage power at Forig Forig Power limit Leakage 23

46 Outline Background on S-FGBB Dynamic fine-grain body biasing (D-FGBB) Environments Evaluation Conclusions 24

47 Evaluation infrastructure Process variation model - VARIUS [ASGI 07] Generate Vth and Leff variation maps for 200 chips SESC - cycle accurate microarchitectural simulator - execution time, dynamic power Mix of SPECint and SPECfp benchmarks HotLeakage, SPICE model - leakage power Hotspot - temperature estimation 25

48 Evaluation parameters 4-core CMP, based on Alpha nm technology, 4GHz Vth variation: σvth/μvth=3-12%, σsys=σrand Leff variation σleff= σvth/2 Vdd=1V, Vth0=250mV, Vbb= ±500mV 26

49 CMP architecture L2 Cache FPQ FPMap IntMap IntQ IntReg FPMul FPAdd FPReg Bpred ICache IntExec LdSTQ ITB DTB DCache CMP 27

50 Body bias granularity We evaluate FGBB at different granularities BB cells per chip Shapes and sizes follow functional units FGBB16 FGBB64 FGBB144 28

51 D-FGBB environments Operating environments Standard D-FGBB Minimize leakage power at Fcal High performance Maximize average frequency Low Power Minimize leakage power at Forig 29

52 D-FGBB reduces leakage 1.15 Frequency D-FGBB144 D-FGBB64 D-FGBB16 D-FGBB1 Leakage reduction 42% 28% S-FGBB144 S-FGBB64 S-FGBB16 S-FGBB1 NoBB D-FGBB reduces leakage significantly More BB cells result in higher frequency and lower leakage Leakage

53 D-FGBB environments Operating environments Standard D-FGBB Minimize leakage power at Fcal High performance Maximize average frequency Low Power Minimize leakage power at Forig 31

54 D-FGBB improves frequency Frequency D-FGBB144 D-FGBB64 D-FGBB16 D-FGBB1 S-FGBB144 S-FGBB64 7% 9% Frequency increase More BB cells result in a higher increase S-FGBB S-FGBB1 NoBB Leakage

55 D-FGBB improves frequency Frequency D-FGBB144 D-FGBB64 D-FGBB16 D-FGBB1 S-FGBB144 S-FGBB64 7% 9% Frequency increase More BB cells result in a higher increase Significant power cost, but still within the power budget S-FGBB S-FGBB1 NoBB 2.5X Leakage

56 D-FGBB environments Operating environments Standard D-FGBB Minimize leakage power at Fcal High performance Maximize average frequency Low Power Minimize leakage power at Forig 33

57 D-FGBB reduces leakage 1.10 Frequency D144 Leakage reduction D64 D16 S144 S64S16 D1 S1 NoBB More BB cells result in higher savings % 10% Leakage

58 Conclusions D-FGBB is more effective than S-FGBB at reducing WID variation: 50% lower leakage 10% higher frequency because D-FGBB adapts to T variation D-FGBB can give architects an additional knob to tradeoff frequency/power Frequency D-FGBB Leakage power 35

59 More in the paper... Details about our variation model A solution for combining D-FGBB with DVFS Estimated overheads of D-FGBB More implementation details 36

60 Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing Radu Teodorescu, Jun Nakano, Abhishek Tiwari and Josep Torrellas University of Illinois at Urbana-Champaign MICRO-40, Chicago, December 2007

Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing *

Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing * Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing * Radu Teodorescu, Jun Nakano, Abhishek Tiwari and Josep Torrellas University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu

More information

Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing

Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing Radu Teodorescu, Jun Nakano, Abhishek Tiwari and Josep Torrellas University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu

More information

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs 1 Outline Variations Process, supply voltage, and temperature

More information

Reducing Transistor Variability For High Performance Low Power Chips

Reducing Transistor Variability For High Performance Low Power Chips Reducing Transistor Variability For High Performance Low Power Chips HOT Chips 24 Dr Robert Rogenmoser Senior Vice President Product Development & Engineering 1 HotChips 2012 Copyright 2011 SuVolta, Inc.

More information

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3 [Partly adapted from Irwin and Narayanan, and Nikolic] 1 Reminders CAD assignments Please submit CAD5 by tomorrow noon CAD6 is due

More information

Leakage and Process Variation Effects in Current Testing on Future CMOS Circuits

Leakage and Process Variation Effects in Current Testing on Future CMOS Circuits Defect-Oriented Testing in the Deep-Submicron Era Leakage and Process Variation Effects in Current Testing on Future CMOS Circuits Ali Keshavarzi, James W. Tschanz, Siva Narendra, and Vivek De Intel Labs

More information

Hybrid Architectural Dynamic Thermal Management

Hybrid Architectural Dynamic Thermal Management Hybrid Architectural Dynamic Thermal Management Kevin Skadron Department of Computer Science, University of Virginia Charlottesville, VA 22904 skadron@cs.virginia.edu Abstract When an application or external

More information

Balancing Resource Utilization to Mitigate Power Density in Processor Pipelines

Balancing Resource Utilization to Mitigate Power Density in Processor Pipelines Balancing Resource Utilization to Mitigate Power Density in Processor Pipelines Michael D. Powell, Ethan Schuchman and T. N. Vijaykumar School of Electrical and Computer Engineering, Purdue University

More information

Integrating Dynamic Voltage/Frequency Scaling and Adaptive Body Biasing using Test-time Voltage Selection

Integrating Dynamic Voltage/Frequency Scaling and Adaptive Body Biasing using Test-time Voltage Selection Integrating Voltage/Frequency Scaling and Adaptive Body Biasing using Test-time Voltage Selection ABSTRACT Alyssa Bonnoit abonnoit@ece.cmu.edu Diana Marculescu dianam@ece.cmu.edu Adaptive body biasing

More information

Ramya Srinivasan GLOBALFOUNDRIES 22FDX: Tempus Body-Bias Interpolation QoR. April

Ramya Srinivasan GLOBALFOUNDRIES 22FDX: Tempus Body-Bias Interpolation QoR. April Ramya Srinivasan GLOBALFOUNDRIES 22FDX: Tempus Body-Bias Interpolation QoR April 12 2017 22FDX: Tempus Body-Bias Interpolation QoR Presenter: Ramya Srinivasan Authors GLOBALFOUNDRIES: Haritez Narisetty

More information

Pushing Ultra-Low-Power Digital Circuits

Pushing Ultra-Low-Power Digital Circuits Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era David Bol Microelectronics Laboratory Ph.D public defense December 16, 2008 Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era

More information

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Microelectronics Journal 39 (2008) 1714 1727 www.elsevier.com/locate/mejo Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Ranjith Kumar, Volkan Kursun Department

More information

Lecture 17: Process Variations. Changes in characteristics of devices and wires. Caused by IC manufacturing process & wear-out (electromigration).

Lecture 17: Process Variations. Changes in characteristics of devices and wires. Caused by IC manufacturing process & wear-out (electromigration). EE24 - Spring 2005 Advanced Digital Integrated Circuits Lecture 7: Process Variations Variability Sources Physical Changes in characteristics of devices and wires. Caused by IC manufacturing process &

More information

COPING WITH PARAMETRIC VARIATION

COPING WITH PARAMETRIC VARIATION ... COPING WITH PARAMETRIC VARIATION AT NEAR-THRESHOLD VOLTAGES... NEAR-THRESHOLD VOLTAGE COMPUTING (NTC) PROMISES IMPROVED ENERGY EFFICIENCY BUT IS MORE SENSITIVE TO PARAMETRIC VARIATION THAN CONVENTIONAL,

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

Lecture 17 Low-Power Design: Dynamic Body Bias Energy Recovery in CMOS SOI. Midterm project reports due this Friday

Lecture 17 Low-Power Design: Dynamic Body Bias Energy Recovery in CMOS SOI. Midterm project reports due this Friday EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 17 Low-Power Design: Dynamic Body Bias Energy Recovery in CMOS SOI Announcements Midterm project reports due this Friday

More information

EECS 427 Lecture 22: Low and Multiple-Vdd Design

EECS 427 Lecture 22: Low and Multiple-Vdd Design EECS 427 Lecture 22: Low and Multiple-Vdd Design Reading: 11.7.1 EECS 427 W07 Lecture 22 1 Last Time Low power ALUs Glitch power Clock gating Bus recoding The low power design space Dynamic vs static EECS

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability

Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability Islam A.K.M Mahfuzul Department of Communications and Computer Engineering Kyoto University mahfuz@vlsi.kuee.kyotou.ac.jp

More information

Incorporating Variability into Design

Incorporating Variability into Design Incorporating Variability into Design Jim Farrell, AMD Designing Robust Digital Circuits Workshop UC Berkeley 28 July 2006 Outline Motivation Hierarchy of Design tradeoffs Design Infrastructure for variability

More information

Mitigating the Effects of Process Variation in Ultra-low Voltage Chip Multiprocessors using Dual Supply Voltages and Half-Speed Stages

Mitigating the Effects of Process Variation in Ultra-low Voltage Chip Multiprocessors using Dual Supply Voltages and Half-Speed Stages Mitigating the Effects of Process Variation in Ultra-low Voltage Chip Multiprocessors using Dual Supply Voltages and Half-Speed Stages Timothy N. Miller, Renji Thomas, Radu Teodorescu Department of Computer

More information

Recovery Boosting: A Technique to Enhance NBTI Recovery in SRAM Arrays

Recovery Boosting: A Technique to Enhance NBTI Recovery in SRAM Arrays Recovery Boosting: A Technique to Enhance NBTI Recovery in SRAM Arrays Taniya Siddiqua and Sudhanva Gurumurthi Department of Computer Science University of Virginia Email: {taniya,gurumurthi}@cs.virginia.edu

More information

Ramon Canal NCD Master MIRI. NCD Master MIRI 1

Ramon Canal NCD Master MIRI. NCD Master MIRI 1 Wattch, Hotspot, Hotleakage, McPAT http://www.eecs.harvard.edu/~dbrooks/wattch-form.html http://lava.cs.virginia.edu/hotspot http://lava.cs.virginia.edu/hotleakage http://www.hpl.hp.com/research/mcpat/

More information

Jan Rabaey, «Low Powere Design Essentials," Springer tml

Jan Rabaey, «Low Powere Design Essentials, Springer tml Jan Rabaey, «e Design Essentials," Springer 2009 http://web.me.com/janrabaey/lowpoweressentials/home.h tml Dimitrios Soudris, Christian Piguet, and Costas Goutis, Designing CMOS Circuits for Low POwer,

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation Maziar Goudarzi, Tohru Ishihara, Hiroto Yasuura System LSI Research Center Kyushu

More information

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 5 Ver. II (Sep Oct. 2015), PP 109-115 www.iosrjournals.org Reduce Power Consumption

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

Cherry Picking: Exploiting Process Variations in the Dark Silicon Era

Cherry Picking: Exploiting Process Variations in the Dark Silicon Era Cherry Picking: Exploiting Process Variations in the Dark Silicon Era Siddharth Garg University of Waterloo Co-authors: Bharathwaj Raghunathan, Yatish Turakhia and Diana Marculescu # Transistors Power/Dark

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Lecture 16: Power and Performance

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Lecture 16: Power and Performance EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 16: Power and Performance Announcements Homework 3 due on Monday Quiz #3 on Monday Makeup lecture on Friday, 3pm, in 540AB 2 1 Outline Last

More information

19. Design for Low Power

19. Design for Low Power 19. Design for Low Power Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 November 8, 2017 ECE Department, University of Texas at

More information

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies Low-Power and Process Variation Tolerant Memories in sub-9nm Technologies Saibal Mukhopadhyay, Swaroop Ghosh, Keejong Kim, and Kaushik Roy Dept. of ECE, Purdue University, West Lafayette, IN, @ecn.purdue.edu

More information

CMOS Process Variations: A Critical Operation Point Hypothesis

CMOS Process Variations: A Critical Operation Point Hypothesis CMOS Process Variations: A Critical Operation Point Hypothesis Janak H. Patel Department of Electrical and Computer Engineering University of Illinois at Urbana-Champaign jhpatel@uiuc.edu Computer Systems

More information

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. Power and Energy Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu The Chip is HOT Power consumption increases

More information

Ruixing Yang

Ruixing Yang Design of the Power Switching Network Ruixing Yang 15.01.2009 Outline Power Gating implementation styles Sleep transistor power network synthesis Wakeup in-rush current control Wakeup and sleep latency

More information

Reliability and Energy Dissipation in Ultra Deep Submicron Designs

Reliability and Energy Dissipation in Ultra Deep Submicron Designs Reliability and Energy Dissipation in Ultra Deep Submicron Designs 5/19/2005 page 1 Reliability and Energy Dissipation in Ultra Deep Submicron Designs Frank Sill 31 th March 2005 5/19/2005 page 2 Outline

More information

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES R. C Ismail, S. A. Z Murad and M. N. M Isa School of Microelectronic Engineering, Universiti Malaysia Perlis, Arau, Perlis, Malaysia

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

A power-variation model for sensor node and the impact against life time of wireless sensor networks

A power-variation model for sensor node and the impact against life time of wireless sensor networks A power-variation model for sensor node and the impact against life time of wireless sensor networks Takashi Matsuda a), Takashi Takeuchi, Takefumi Aonishi, Masumi Ichien, Hiroshi Kawaguchi, Chikara Ohta,

More information

The BubbleWrap Many-Core: Popping Cores for Sequential Acceleration

The BubbleWrap Many-Core: Popping Cores for Sequential Acceleration The BubbleWrap Many-Core: Popping Cores for Sequential Acceleration Ulya R. Karpuzcu, Brian Greskamp, and Josep Torrellas University of Illinois at Urbana-Champaign rkarpu2, greskamp, torrella@illinois.edu

More information

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.5, OCTOBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.5.577 ISSN(Online) 2233-4866 Low and High Performance Level-up Shifters

More information

II. PROPOSED ADAPTIVE BODY BIAS CIRCUIT

II. PROPOSED ADAPTIVE BODY BIAS CIRCUIT Process Aware Circuit Design Using Adaptive Body Biasing Raghvendra Chanpuriya, Anurag Shrivastava, Vijay K. Magraiya Department of EC SRCEM Banmore (M.P.) Abstract The process variation has become inevitable

More information

A Case for Opportunistic Embedded Sensing In Presence of Hardware Power Variability

A Case for Opportunistic Embedded Sensing In Presence of Hardware Power Variability A Case for Opportunistic Embedded Sensing In Presence of Hardware Power Variability L. Wanner, C. Apte, R. Balani, Puneet Gupta, and Mani Srivastava University of California, Los Angeles puneet@ee.ucla.edu

More information

System Level Analysis of Fast, Per-Core DVFS using On-Chip Switching Regulators

System Level Analysis of Fast, Per-Core DVFS using On-Chip Switching Regulators System Level Analysis of Fast, Per-Core DVFS using On-Chip Switching s Wonyoung Kim, Meeta S. Gupta, Gu-Yeon Wei and David Brooks School of Engineering and Applied Sciences, Harvard University, 33 Oxford

More information

Impact of Process Variations on Multicore Performance Symmetry

Impact of Process Variations on Multicore Performance Symmetry Impact of Process Variations on Multicore Performance Symmetry Eric Humenay, David Tarjan, Kevin Skadron Dept. of Computer Science, University of Virginia Charlottesville, VA 22904 humenay@virginia.edu,

More information

DVFS in Presence of Process Variations

DVFS in Presence of Process Variations DVFS in Presence of Process Variations Diana Marculescu To cite this version: Diana Marculescu. DVFS in Presence of Process Variations. ISCA tutorial on Multi-domain Processors: Challenges, Design Methods,

More information

4 principal of JNTU college of Eng., JNTUH, Kukatpally, Hyderabad, A.P, INDIA

4 principal of JNTU college of Eng., JNTUH, Kukatpally, Hyderabad, A.P, INDIA Efficient Power Management Technique for Deep-Submicron Circuits P.Sreenivasulu 1, Ch.Aruna 2 Dr. K.Srinivasa Rao 3, Dr. A.Vinaya babu 4 1 Research Scholar, ECE Department, JNTU Kakinada, A.P, INDIA. 2

More information

LEAKAGE IN NANOMETER CMOS TECHNOLOGIES

LEAKAGE IN NANOMETER CMOS TECHNOLOGIES LEAKAGE IN NANOMETER CMOS TECHNOLOGIES SERIES ON INTEGRATED CIRCUITS AND SYSTEMS Anantha Chandrakasan, Editor Massachusetts Institute of Technology Cambridge, Massachusetts, USA Published books in the

More information

Topics. Low Power Techniques. Based on Penn State CSE477 Lecture Notes 2002 M.J. Irwin and adapted from Digital Integrated Circuits 2002 J.

Topics. Low Power Techniques. Based on Penn State CSE477 Lecture Notes 2002 M.J. Irwin and adapted from Digital Integrated Circuits 2002 J. Topics Low Power Techniques Based on Penn State CSE477 Lecture Notes 2002 M.J. Irwin and adapted from Digital Integrated Circuits 2002 J. Rabaey Review: Energy & Power Equations E = C L V 2 DD P 0 1 +

More information

Evaluation of Voltage Interpolation to Address Process Variations

Evaluation of Voltage Interpolation to Address Process Variations Evaluation of Voltage Interpolation to Address Process Variations Kevin Brownell, Gu-Yeon Wei, David Brooks School of Engineering and Applied Sciences Harvard University Cambridge, MA 238 Email: {brownell,

More information

Larger-than-Vdd Forward Body Bias in Sub-0.5V Nanoscale CMOS

Larger-than-Vdd Forward Body Bias in Sub-0.5V Nanoscale CMOS .2 Larger-than-Vdd Forward Body Bias in Sub-.V Nanoscale CMOS Hari Ananthan, Chris H. Kim and Kaushik Roy Dept. of Electrical and Computer Engineering, Purdue University 28 Electrical Engineering Building,

More information

Leakage Current Analysis

Leakage Current Analysis Current Analysis Hao Chen, Latriese Jackson, and Benjamin Choo ECE632 Fall 27 University of Virginia , , @virginia.edu Abstract Several common leakage current reduction methods such

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

A 400 MHz 4.5 nw 63.8 dbm Sensitivity Wake-up Receiver Employing an Active Pseudo-Balun Envelope Detector

A 400 MHz 4.5 nw 63.8 dbm Sensitivity Wake-up Receiver Employing an Active Pseudo-Balun Envelope Detector A 400 MHz 4.5 nw 63.8 dbm Sensitivity Wake-up Receiver Employing an Active Pseudo-Balun Envelope Detector Po-Han Peter Wang, Haowei Jiang, Li Gao, Pinar Sen, Young-Han Kim, Gabriel M. Rebeiz, Patrick P.

More information

Characterization and Variation Modeling for 22FDX. Ning Jin Digital Design Methodology Team

Characterization and Variation Modeling for 22FDX. Ning Jin Digital Design Methodology Team Characterization and Variation Modeling for 22FDX Ning Jin Digital Design Methodology Team Agenda 1 2 3 4 Introduction to 22FDX Technology Library Characterization in Liberate and Variety Library Characterization

More information

An Overview of Static Power Dissipation

An Overview of Static Power Dissipation An Overview of Static Power Dissipation Jayanth Srinivasan 1 Introduction Power consumption is an increasingly important issue in general purpose processors, particularly in the mobile computing segment.

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

Control Synthesis and Delay Sensor Deployment for Efficient ASV designs

Control Synthesis and Delay Sensor Deployment for Efficient ASV designs Control Synthesis and Delay Sensor Deployment for Efficient ASV designs C H A O FA N L I < C H AO F @ TA M U. E D U >, T E X A S A & M U N I V E RS I T Y S A C H I N S. S A PAT N E K A R, U N I V E RS

More information

SIZE is a critical concern for ultralow power sensor systems,

SIZE is a critical concern for ultralow power sensor systems, 842 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 60, NO. 12, DECEMBER 2013 Achieving Ultralow Standby Power With an Efficient SCCMOS Bias Generator Yoonmyung Lee, Member, IEEE, Mingoo

More information

A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs

A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs 1 A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs Masaya Miyahara, Yusuke Asada, Daehwa Paik and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline 2 Motivation The Calibration

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

Opportunities and Challenges in Ultra Low Voltage CMOS. Rajeevan Amirtharajah University of California, Davis

Opportunities and Challenges in Ultra Low Voltage CMOS. Rajeevan Amirtharajah University of California, Davis Opportunities and Challenges in Ultra Low Voltage CMOS Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless sensors RFID

More information

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-30nm CMOS Technologies Bhaskar Chatterjee, Manoj Sachdev Ram Krishnamurthy * Department of Electrical and Computer

More information

Design Challenges in Multi-GHz Microprocessors

Design Challenges in Multi-GHz Microprocessors Design Challenges in Multi-GHz Microprocessors Bill Herrick Director, Alpha Microprocessor Development www.compaq.com Introduction Moore s Law ( Law (the trend that the demand for IC functions and the

More information

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm EE241 - Spring 2011 dvanced Digital Integrated Circuits Lecture 20: High-Performance Logic Styles nnouncements Quiz #3 today Homework #4 posted This lecture until 4pm Reading: Chapter 8 in the owhill text

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique Total reduction of leakage power through combined effect of Sleep and variable body biasing technique Anjana R 1, Ajay kumar somkuwar 2 Abstract Leakage power consumption has become a major concern for

More information

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

Power consumption is now the major technical

Power consumption is now the major technical COVER FEATURE Leakage Current: Moore s Law Meets Static Power Microprocessor design has traditionally focused on dynamic power consumption as a limiting factor in system integration. As feature sizes shrink

More information

2009 Brian L. Greskamp

2009 Brian L. Greskamp 2009 Brian L. Greskamp IMPROVING PER-THREAD PERFORMANCE ON CMPS THROUGH TIMING SPECULATION BY BRIAN L. GRESKAMP B.S. Clemson University, 2003 M.S. University of Illinois at Urbana-Champaign, 2005 DISSERTATION

More information

LIMITS OF PARALLELISM AND BOOSTING IN DIM SILICON

LIMITS OF PARALLELISM AND BOOSTING IN DIM SILICON ... LIMITS OF PARALLELISM AND BOOSTING IN DIM SILICON... THE AUTHORS INVESTIGATE THE LIMIT OF VOLTAGE SCALING TOGETHER WITH TASK PARALLELIZATION TO MAINTAIN TASK-COMPLETION LATENCY WHILE REDUCING ENERGY

More information

SCALCORE: DESIGNING A CORE

SCALCORE: DESIGNING A CORE SCALCORE: DESIGNING A CORE FOR VOLTAGE SCALABILITY Bhargava Gopireddy, Choungki Song, Josep Torrellas, Nam Sung Kim, Aditya Agrawal, Asit Mishra University of Illinois, University of Wisconsin, Nvidia,

More information

An Analysis of Novel CMOS Ring Oscillator Using LECTOR Technique with Minimum Leakage

An Analysis of Novel CMOS Ring Oscillator Using LECTOR Technique with Minimum Leakage Available online www.ejaet.com European Journal of Advances in Engineering and Technology, 2017, 4 (1): 44-48 Research Article ISSN: 2394-658X An Analysis of Novel CMOS Ring Oscillator Using LECTOR Technique

More information

Perfect Error Compensation via Algorithmic Error Cancellation

Perfect Error Compensation via Algorithmic Error Cancellation Perfect Error Compensation via Algorithmic Error Cancellation Sujan K Gonugondla 1, Byonghyo Shim 2 and Naresh Shanbhag 1 1 Electrical and Computer Engineering, University Of Illinois Urbana-Champaign

More information

Silicon Odometer: An On-Chip Reliability Monitor for Measuring Frequency Degradation of Digital Circuits

Silicon Odometer: An On-Chip Reliability Monitor for Measuring Frequency Degradation of Digital Circuits Silicon Odometer: An On-Chip Reliability Monitor for Measuring Frequency Degradation of Digital Circuits Tae-Hyoung Kim, Randy Persaud and Chris H. Kim Department of Electrical and Computer Engineering

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

Technology Challenges

Technology Challenges Technology Challenges ECE/CS 752 Fall 2017 Prof. Mikko H. Lipasti University of Wisconsin-Madison Readings Read on your own: Shekhar Borkar, Designing Reliable Systems from Unreliable Components: The Challenges

More information

New Approaches to Total Power Reduction Including Runtime Leakage. Leakage

New Approaches to Total Power Reduction Including Runtime Leakage. Leakage 1 0 0 % 8 0 % 6 0 % 4 0 % 2 0 % 0 % - 2 0 % - 4 0 % - 6 0 % New Approaches to Total Power Reduction Including Runtime Leakage Dennis Sylvester University of Michigan, Ann Arbor Electrical Engineering and

More information

International Journal of Innovative Research in Technology, Science and Engineering (IJIRTSE) Volume 1, Issue 1.

International Journal of Innovative Research in Technology, Science and Engineering (IJIRTSE)   Volume 1, Issue 1. Standard Cell Design with Low Leakage Using Gate Length Biasing in Cadence Virtuoso and ALU Using Power Gating Sleep Transistor Technique in Soc Encounter Priyanka Mehra M.tech, VLSI Design SRM University,

More information

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 1 M.Tech Student, Amity School of Engineering & Technology, India 2 Assistant Professor, Amity School of Engineering

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Recap. RISC vs. CISC. Caches. Load, Store instructions. Locality of reference It is small and it is fast

Recap. RISC vs. CISC. Caches. Load, Store instructions. Locality of reference It is small and it is fast Recap RISC vs. CISC Load, Store instructions Caches Locality of reference It is small and it is fast Is it fast because it is small? Why is it small? Application Algorithm Programming Language OS/VM ISA

More information

A Delay Distribution Squeezing Scheme with Speed-Adaptive Threshold-Voltage CMOS (SA-Vt CMOS) for Low Voltage LSIs

A Delay Distribution Squeezing Scheme with Speed-Adaptive Threshold-Voltage CMOS (SA-Vt CMOS) for Low Voltage LSIs elay istribution Squeezing Scheme with Speed-daptive Threshold-Voltage MOS (S-Vt MOS) for Low Voltage LSIs Masayuki Miyazaki, Hiroyuki Mizuno, and Koichiro Ishibashi entral Research Laboratory, Hitachi,

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review SUPRATIM SAHA Assistant Professor, Department of ECE, Subharti Institute of Technology

More information

Variation-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variation

Variation-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variation 2 IEEE Conference on Microelectronic Test Structures, April 4-7, Amsterdam, The Netherlands 8.2 Variation-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variation Islam A.K.M Mahfuzul,

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation. Variation. Process Corners.

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation. Variation. Process Corners. ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 13: October 3, 2012 Layout and Area Today Coping with Variation (from last time) Layout Transistors Gates Design rules Standard

More information

Minimum Supply Voltage for Sequential Logic Circuits in a 22nm Technology

Minimum Supply Voltage for Sequential Logic Circuits in a 22nm Technology Minimum Supply Voltage for Sequential Logic Circuits in a 22nm Technology Chia-Hsiang Chen, Keith Bowman *, Charles Augustine, Zhengya Zhang, and Jim Tschanz Electrical Engineering and Computer Science

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems EDA Challenges for Low Power Design Anand Iyer, Cadence Design Systems Agenda Introduction ti LP techniques in detail Challenges to low power techniques Guidelines for choosing various techniques Why is

More information

Low Power Design in VLSI

Low Power Design in VLSI Low Power Design in VLSI Evolution in Power Dissipation: Why worry about power? Heat Dissipation source : arpa-esto microprocessor power dissipation DEC 21164 Computers Defined by Watts not MIPS: µwatt

More information

LEAKAGE AND VARIATION AWARE THERMAL MANAGEMENT OF NANOMETER SCALE ICS

LEAKAGE AND VARIATION AWARE THERMAL MANAGEMENT OF NANOMETER SCALE ICS LEAKAGE AND VARIATION AWARE THERMAL MANAGEMENT OF NANOMETER SCALE ICS Kaustav Baneree, Sheng-Chih Lin, and Vineet Wason Department of Electrical and Computer Engineering, University of California, Santa

More information

Low-Power CMOS VLSI Design

Low-Power CMOS VLSI Design Low-Power CMOS VLSI Design ( 范倫達 ), Ph. D. Department of Computer Science, National Chiao Tung University, Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.tw/~ldvan/ Outline Introduction

More information

TSUNAMI: A Light-Weight On-Chip Structure for Measuring Timing Uncertainty Induced by Noise During Functional and Test Operations

TSUNAMI: A Light-Weight On-Chip Structure for Measuring Timing Uncertainty Induced by Noise During Functional and Test Operations TSUNAMI: A Light-Weight On-Chip Structure for Measuring Timing Uncertainty Induced by Noise During Functional and Test Operations Shuo Wang and Mohammad Tehranipoor Dept. of Electrical & Computer Engineering,

More information

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Anjana R 1, Dr. Ajay kumar somkuwar 2 1 Asst.Prof & ECE, Laxmi Institute of Technology, Gujarat 2 Professor

More information

Closing the Power Gap between ASIC and Custom: An ASIC Perspective

Closing the Power Gap between ASIC and Custom: An ASIC Perspective 16.1 Closing the Power Gap between ASIC and Custom: An ASIC Perspective D. G. Chinnery and K. Keutzer Department of Electrical Engineering and Computer Sciences University of California at Berkeley {chinnery,keutzer}@eecs.berkeley.edu

More information

Improved DFT for Testing Power Switches

Improved DFT for Testing Power Switches Improved DFT for Testing Power Switches Saqib Khursheed, Sheng Yang, Bashir M. Al-Hashimi, Xiaoyu Huang School of Electronics and Computer Science University of Southampton, UK. Email: {ssk, sy8r, bmah,

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information