Opportunities and Challenges in Ultra Low Voltage CMOS. Rajeevan Amirtharajah University of California, Davis

Size: px
Start display at page:

Download "Opportunities and Challenges in Ultra Low Voltage CMOS. Rajeevan Amirtharajah University of California, Davis"

Transcription

1 Opportunities and Challenges in Ultra Low Voltage CMOS Rajeevan Amirtharajah University of California, Davis

2 Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless sensors RFID tags Biomedical implants Mobile Phones, internet devices, and netbooks Maximize operating lifetime for stored energy (minimum energy operation) Wall-plug and Rack Mounted Systems Power-down and sleep modes in servers Maintain state in on-chip caches, SRAM memory while minimizing leakage power Moteiv Sky mote, 26 2

3 Delay: TOT CMOS Delay and Power Dissipation Total Power: CΔV Δt = = I P + P + = Pdynamic + Pshort circuit = αc + V dd L V I 2 dd static f + V + V CLV I dd dd I D I dd peak leak μc static tr + t 2 f C W L P f L V ( V V ) 2 ox dd th 2 leak dd Voltage scaling decreases all power components, at expense of increasing circuit delay. 3

4 Low Voltage CMOS Inverter Operation Thermal noise limit: 4kT q 1 mv Inverter gain limit: 8kT q 2 mv Equalized NMOS- PMOS off currents: Swanson and Meindl, JSSC nkT q 57 mv 4

5 Supply Voltage Scaling With Technology Node VDD (V VDD (High Perf.) Length (nm.2 VDD (Low Power) 5 MPU Physical Gate Length From 27 ITRS Roadmap Year 5

6 Commercial Wireless Sensor Mote Moteiv Sky mote, 26 Jiang, IPSN/SPOTS 25 Current sensor node: 7 mw all active, 17 μw idle Power sources contribute significant volume and cost Smaller system (1 cm 3 ) desirable (less obtrusive military sensor, implantable biomedical device) Reduce power consumption, get energy from environment 6

7 Specific Opportunities for ULV Design Extremely low power mixed-signal circuits Analog design without operational amplifiers Low voltage swing on-chip interconnects Good current drive at low V DD desirable Power gates and cutoff devices to minimize leakage power during inactive state Need steep subthreshold slope to limit leakage current when blocks turned off Low voltage active mode and sleep mode memories, caches Need reliable operation under variable V DD Low voltage standard cells Operate at minimum energy point, balancing leakage and dynamic power 7

8 Low Voltage Sensor Interfaces Passive Sigma Delta Modulator ADC Reza et al., IEEE Trans. Nanotechnology 25 Initial evaluation of nanowire suitability for sensors: noise and power implications (Amirtharajah et al., Int l J. Nanotechnology 28) Exploit ultra low power, low area, energy scalable ADC architecture based on passive switched capacitor modulator, digital comparator IFC Pre-Annual Review - August 13, 28 8

9 Energy and Voltage Scalable Sensor Interfaces Test Chip (9 nm CMOS) Measured Noise Shaping Spectrum Passive modulator Sigma Delta ADC Chip verified over range of OSRs: about 1 bits, 45 nw power consumption for 1 khz input BW Useful ENOB from V DD = 1V down to 2 mv Submitted to VLSI 29 9

10 Energy Scalable Array Several operations confirmed, working out configuration issues Currently testing array Test Chip Features Sixteen tiles connected by island-style x and y routing Implemented in.25 μm CMOS from TSMC Includes test structures for low switching activity interconnect Includes multiple-input energy harvesting power supply (to appear ISSCC9) 1

11 Edge Position Signaling Modulator Demodulator Encode multiple bits per wire transition by modulating edge timing, Pulse Position (PPM), Pulse Width (PWM) Reduces worst case power consumption over binary signaling What is circuit implementation area and power overhead? Measured data from test chip: power vs. interconnect length IFC Pre-Annual Review - August 13, 28 11

12 Edge Position Signaling Crossover Plot shows wire length at which power saved over binary signaling for various throughputs and minimum V DD (nominal V DD = 2.5V) Edge position signaling useful for global wires (length > 7mm) IFC Pre-Annual Review - August 13, 28 12

13 Frequency Variation With High Ripple / AC Supply Ring Oscillator Output Volts t Hold VDD Vout time (ns) Self-timed datapath must be initialized at power-on Must maintain state across power supply cycles

14 3T DRAM Cell Layout 3T DRAM Write M2 Read M3 Store M1 46 µm 2 gate size chosen for 1.2ms retention Vdd = 4 mv C < T < 5 C Hold time for 6 Hz supply

15 AC Supply Test Chip Photo and Summary POR OSC FIR Filter Published Symposium on VLSI Circuits, 27 Technology Dimensions Transistors I/O V DD AC Supply (V PP = 1.8 V) Core Freq. (max) Flip-Flop DRV Power (Core) 18 nm CMOS 2.6 mm x 2.6 mm 135K 1.8 V 6 Hz 1 khz 75.6 MHz 153 mv µw

16 Challenges for Ultra Low Voltage Device variability (within die, across dies, and across wafers) Variations in threshold voltage drastically affect critical circuit performance parameters Examples: I on /I off ratios, gate delays, static memory noise margins, subthreshold slope for leakage limiting devices Noise and event tolerance Approaching fundamental noise limit may decrease reliability and MTTF Limited charge storage on circuit nodes may increase susceptibility to soft errors in both memory and logic 16

17 Sub-V t Design Challenges RDF.3 t Leakage V OUT (V).2.1 Active V (V) IN on off Sub-V t static CMOS gates exhibit variation in logic levels (V OH, V OL ) From Kwong et al., ISSCC 8 28 IEEE International Solid-State Circuits Conference 28 IEEE

18 Sub-V t Logic Functionality Degraded logic levels adversely impact functionality V OUT (V) V (V) IN Voltage (V) CLK CLK Time (µs) N2,Q N3 N4 N2 CLK N3 N4 Not completely off, causing functional failure From Kwong et al., ISSCC 8 28 IEEE International Solid-State Circuits Conference 28 IEEE

19 Sub-V t Logic Design Functional metric necessary to manage sizing trade-off t σv t 1 WL NAND NOR V OUT-NAND, V IN-NOR.2.1 NAND NOR.1.2 V OUT-NAND, V IN-NOR.2.1 NAND NOR Logic failure.1.2 V IN-NAND, V OUT-NOR V IN-NAND, V OUT-NOR From Kwong et al., ISSCC 8 28 IEEE International Solid-State Circuits Conference 28 IEEE

20 Sub-V t Standard Cell Library Occurrences 2 1 Leakage Active V OL (V) t Occurrences 1 5 Outliers V OH (V) 1 t 1 Occurrences 5 Occurrences V OL (V) From Kwong et al., ISSCC V OH (V) 28 IEEE International Solid-State Circuits Conference 28 IEEE

21 Sub-V t SRAM Challenges Hold SNM WL WL Read SNM NT 1. NC BL 1. BL NT NC NT, NC (V) Hold SNM preserved to low-voltages NT, NC (V) Read SNM degraded at low-voltages NC, NT (V) From Kwong et al., ISSCC NC, NT (V) 28 IEEE International Solid-State Circuits Conference 28 IEEE

22 SRAM Architecture and Bit-Cell Based on Verma, ISSCC 27 Buffer eliminates read SNM limitation Peripheral assists allow sub-v t writing and sensing From Kwong et al., ISSCC 8 28 IEEE International Solid-State Circuits Conference 28 IEEE

23 Volts Volts WR WR Peripheral Assists VV DD Write NT VV DD 1 1 NT NC 1 NC µs RDBL Voltage Read From Kwong et al., ISSCC 8 1 VV DD 6T Cell PCHRG 1 (256, 64 Cells) No sub-v t leakage 1 (256 Cells) 1 (64 Cells) µs 28 IEEE International Solid-State Circuits Conference 28 IEEE

24 Sub-V t Timing Analysis Challenges Order-of-magnitude higher delay variation in sub-v t Occurrences Occurrences From Kwong et al., ISSCC 8 28 IEEE International Solid-State Circuits Conference 28 IEEE

25 Comprehensive Timing Simulations Increasing mean delay Path # σ /µ (Std. dev. over mean) Simulation of 3 timing paths illustrates trends in sub-vt delay variability Delay (ns) 2 Mean delay [ns] From Kwong et al., ISSCC 8 28 IEEE International Solid-State Circuits Conference 28 IEEE 4

26 Test-Chip Summary Process DC-DC Converter SRAM Logic Minimum Energy Point Minimum Functional V DD Area Performance 65nm CMOS.12mm mm 2.14mm 2 V DD = 5mV V DD = 3mV 1.86mm Core logic (2 power domains) 128Kb SRAM array 2.29mm DC-DC converter From Kwong et al., ISSCC 8 28 IEEE International Solid-State Circuits Conference 28 IEEE

27 Conclusions Many opportunities for ultra low voltage design exist Energy Constrained Applications: wireless sensors, mobile devices, biomedical implants Minimum Power Applications: sleep and minimum leakage modes in processors and memories Main challenge is device parameter variations Threshold voltage variation severely impacts delays, noise margins in logic and SRAM Very active area of circuits research ISSCC 29 Advanced Circuits Forum on Ultra Low Voltage 17

28 Acknowledgments Jamie Collier Liping Guo Travis Kleeburg Jeff Loo Mackenzie Scott Justin Wenck Joyce Kwong, MIT Prof. Anantha Chandrakasan, MIT National Science Foundation CAREER Award FCRP Interconnect Focus Center Xilinx University Program and Xilinx Research Labs U.S. Dept. of Education GAANN Fellowship TSMC 18

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

Microwatt Design for Energy Harvesting Wireless Sensors. Rajeevan Amirtharajah University of California, Davis

Microwatt Design for Energy Harvesting Wireless Sensors. Rajeevan Amirtharajah University of California, Davis Microwatt Design for Energy Harvesting Wireless Sensors Rajeevan Amirtharajah University of California, Davis Emerging Microsensor Applications Industrial Plants and Power Line Monitoring (courtesy ABB)

More information

An Energy Scalable Computational Array for Energy Harvesting Sensor Signal Processing. Rajeevan Amirtharajah University of California, Davis

An Energy Scalable Computational Array for Energy Harvesting Sensor Signal Processing. Rajeevan Amirtharajah University of California, Davis An Energy Scalable Computational Array for Energy Harvesting Sensor Signal Processing Rajeevan Amirtharajah University of California, Davis Energy Scavenging Wireless Sensor Extend sensor node lifetime

More information

Microwatt Design for Energy Harvesting Wireless Sensors. Rajeevan Amirtharajah University of California, Davis

Microwatt Design for Energy Harvesting Wireless Sensors. Rajeevan Amirtharajah University of California, Davis Microwatt Design for Energy Harvesting Wireless Sensors Rajeevan Amirtharajah University of California, Davis Emerging Microsensor Applications Industrial Plants and Power Line Monitoring (courtesy ABB)

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation Maziar Goudarzi, Tohru Ishihara, Hiroto Yasuura System LSI Research Center Kyushu

More information

Scaling Self-Timed Systems Powered by Mechanical Vibration Energy Harvesting

Scaling Self-Timed Systems Powered by Mechanical Vibration Energy Harvesting Scaling Self-Timed Systems Powered by Mechanical Vibration Energy Harvesting 5 JUSTIN WENCK, JAMIE COLLIER, JEFF SIEBERT, and RAJEEVAN AMIRTHARAJAH University of California, Davis Passive energy harvesting

More information

Jan Rabaey, «Low Powere Design Essentials," Springer tml

Jan Rabaey, «Low Powere Design Essentials, Springer tml Jan Rabaey, «e Design Essentials," Springer 2009 http://web.me.com/janrabaey/lowpoweressentials/home.h tml Dimitrios Soudris, Christian Piguet, and Costas Goutis, Designing CMOS Circuits for Low POwer,

More information

A Novel Low Power Profile for Mixed-Signal Design of SARADC

A Novel Low Power Profile for Mixed-Signal Design of SARADC Electrical and Electronic Engineering 2012, 2(2): 82-87 DOI: 10.5923/j.eee.20120202.15 A Novel Low Power Profile for Mixed-Signal Design of SARADC Saeed Roshani 1,*, Sobhan Roshani 1, Mohammad B. Ghaznavi

More information

An Ultra Low Power Successive Approximation ADC for Wireless Sensor Network

An Ultra Low Power Successive Approximation ADC for Wireless Sensor Network Internatıonal Journal of Natural and Engineering Sciences 7 (2): 38-42, 213 ISSN: 137-1149, E-ISSN: 2146-86, www.nobel.gen.tr An Ultra Low Power Successive Approximation ADC for Wireless Sensor Network

More information

SCALING power supply has become popular in lowpower

SCALING power supply has become popular in lowpower IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 1, JANUARY 2012 55 Design of a Subthreshold-Supply Bootstrapped CMOS Inverter Based on an Active Leakage-Current Reduction Technique

More information

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University Low-Power VLSI Seong-Ook Jung 2011. 5. 6. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical l & Electronic Engineering i Contents 1. Introduction 2. Power classification 3. Power

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11

More information

EECS 427 Lecture 22: Low and Multiple-Vdd Design

EECS 427 Lecture 22: Low and Multiple-Vdd Design EECS 427 Lecture 22: Low and Multiple-Vdd Design Reading: 11.7.1 EECS 427 W07 Lecture 22 1 Last Time Low power ALUs Glitch power Clock gating Bus recoding The low power design space Dynamic vs static EECS

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Ultralow-Power and Robust Embedded Memory for Bioimplantable Microsystems

Ultralow-Power and Robust Embedded Memory for Bioimplantable Microsystems 2013 26th International Conference on VLSI Design and the 12th International Conference on Embedded Systems Ultralow-Power and Robust Embedded Memory for Bioimplantable Microsystems Maryam S. Hashemian

More information

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #8: Leakage Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: Low Power Interconnect Finish Lecture 7 Leakage Mechanisms Circuit Styles for Low Leakage

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

A Novel Latch design for Low Power Applications

A Novel Latch design for Low Power Applications A Novel Latch design for Low Power Applications Abhilasha Deptt. of Electronics and Communication Engg., FET-MITS Lakshmangarh, Rajasthan (India) K. G. Sharma Suresh Gyan Vihar University, Jagatpura, Jaipur,

More information

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Microelectronics Journal 39 (2008) 1714 1727 www.elsevier.com/locate/mejo Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Ranjith Kumar, Volkan Kursun Department

More information

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems 1 Eun-Jung Yoon, 2 Kangyeob Park, 3* Won-Seok Oh 1, 2, 3 SoC Platform Research Center, Korea Electronics Technology

More information

Sub-threshold Logic Circuit Design using Feedback Equalization

Sub-threshold Logic Circuit Design using Feedback Equalization Sub-threshold Logic Circuit esign using Feedback Equalization Mahmoud Zangeneh and Ajay Joshi Electrical and Computer Engineering epartment, Boston University, Boston, MA, USA {zangeneh, joshi}@bu.edu

More information

Announcements. Advanced Digital Integrated Circuits. Midterm feedback mailed back Homework #3 posted over the break due April 8

Announcements. Advanced Digital Integrated Circuits. Midterm feedback mailed back Homework #3 posted over the break due April 8 EE241 - Spring 21 Advanced Digital Integrated Circuits Lecture 18: Dynamic Voltage Scaling Announcements Midterm feedback mailed back Homework #3 posted over the break due April 8 Reading: Chapter 5, 6,

More information

Single Ended Static Random Access Memory for Low-V dd, High-Speed Embedded Systems

Single Ended Static Random Access Memory for Low-V dd, High-Speed Embedded Systems Single Ended Static Random Access Memory for Low-V dd, High-Speed Embedded Systems Jawar Singh, Jimson Mathew, Saraju P. Mohanty and Dhiraj K. Pradhan Department of Computer Science, University of Bristol,

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique James Lin, Masaya Miyahara and Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Laḃ

More information

A/D Conversion and Filtering for Ultra Low Power Radios. Dejan Radjen Yasser Sherazi. Advanced Digital IC Design. Contents. Why is this important?

A/D Conversion and Filtering for Ultra Low Power Radios. Dejan Radjen Yasser Sherazi. Advanced Digital IC Design. Contents. Why is this important? 1 Advanced Digital IC Design A/D Conversion and Filtering for Ultra Low Power Radios Dejan Radjen Yasser Sherazi Contents A/D Conversion A/D Converters Introduction ΔΣ modulator for Ultra Low Power Radios

More information

Pushing Ultra-Low-Power Digital Circuits

Pushing Ultra-Low-Power Digital Circuits Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era David Bol Microelectronics Laboratory Ph.D public defense December 16, 2008 Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era

More information

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Behnam Amelifard Department of EE-Systems University of Southern California Los Angeles, CA (213)

More information

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits Research Journal of Applied Sciences, Engineering and Technology 5(10): 2991-2996, 2013 ISSN: 2040-7459; e-issn: 2040-7467 Maxwell Scientific Organization, 2013 Submitted: September 16, 2012 Accepted:

More information

Low Power Techniques for SoC Design: basic concepts and techniques

Low Power Techniques for SoC Design: basic concepts and techniques Low Power Techniques for SoC Design: basic concepts and techniques Estagiário de Docência M.Sc. Vinícius dos Santos Livramento Prof. Dr. Luiz Cláudio Villar dos Santos Embedded Systems - INE 5439 Federal

More information

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Muralidharan Venkatasubramanian Auburn University vmn0001@auburn.edu Vishwani D. Agrawal Auburn University vagrawal@eng.auburn.edu

More information

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University EE 224 Solid State Electronics II Lecture 3: Lattice and symmetry 1 Outline

More information

A 23 nw CMOS ULP Temperature Sensor Operational from 0.2 V

A 23 nw CMOS ULP Temperature Sensor Operational from 0.2 V A 23 nw CMOS ULP Temperature Sensor Operational from 0.2 V Divya Akella Kamakshi 1, Aatmesh Shrivastava 2, and Benton H. Calhoun 1 1 Dept. of Electrical Engineering, University of Virginia, Charlottesville,

More information

EEC 118 Lecture #12: Dynamic Logic

EEC 118 Lecture #12: Dynamic Logic EEC 118 Lecture #12: Dynamic Logic Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Outline Today: Alternative MOS Logic Styles Dynamic MOS Logic Circuits: Rabaey

More information

Lab 7 (Hands-On Experiment): CMOS Inverter, NAND Gate, and NOR Gate

Lab 7 (Hands-On Experiment): CMOS Inverter, NAND Gate, and NOR Gate Lab 7 (Hands-On Experiment): CMOS Inverter, NAND Gate, and NOR Gate EECS 170LB, Wed. 5:00 PM TA: Elsharkasy, Wael Ryan Morrison Buu Truong Jonathan Lam 03/05/14 Introduction The purpose of this lab is

More information

A sub-1 V nanopower temperature-compensated sub-threshold CMOS voltage reference with 0.065%/V line sensitivity

A sub-1 V nanopower temperature-compensated sub-threshold CMOS voltage reference with 0.065%/V line sensitivity INTERNATIONAL JOURNAL OF CIRCUIT THEORY AND APPLICATIONS Int. J. Circ. Theor. Appl. (2013) Published online in Wiley Online Library (wileyonlinelibrary.com)..1950 A sub-1 V nanopower temperature-compensated

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

UCB Picocube A modular approach to miniature wireless 1 cm μw P avg

UCB Picocube A modular approach to miniature wireless 1 cm μw P avg switch/power board Magnetic shaker uc board radio board sensor board UCB Picocube A modular approach to miniature wireless 1 cm 3 6-10 μw P avg Energy-scavenged pressure, temp and acceleration (3D) sensor

More information

Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique

Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique Mansi Gangele 1, K.Pitambar Patra 2 *(Department Of

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM 1 Mitali Agarwal, 2 Taru Tevatia 1 Research Scholar, 2 Associate Professor 1 Department of Electronics & Communication

More information

BIOLOGICAL and environmental real-time monitoring

BIOLOGICAL and environmental real-time monitoring 290 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 4, APRIL 2010 An Energy-Efficient Subthreshold Level Converter in 130-nm CMOS Stuart N. Wooters, Student Member, IEEE, Benton

More information

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Low Power VLSI Circuit Synthesis: Introduction and Course Outline Low Power VLSI Circuit Synthesis: Introduction and Course Outline Ajit Pal Professor Department of Computer Science and Engineering Indian Institute of Technology Kharagpur INDIA -721302 Agenda Why Low

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP 1 B. Praveen Kumar, 2 G.Rajarajeshwari, 3 J.Anu Infancia 1, 2, 3 PG students / ECE, SNS College of Technology, Coimbatore, (India)

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

90% Write Power Saving SRAM Using Sense-Amplifying Memory Cell

90% Write Power Saving SRAM Using Sense-Amplifying Memory Cell 90% Write Power Saving SRAM Using Sense-Amplifying Memory Cell Kouichi Kanda 1, Hattori Sadaaki 2, and Takayasu Sakurai 3 1 Fujitsu Laboratories Ltd. 2 KDDI corporation 3 Institute of Industrial Science,

More information

SiNANO-NEREID Workshop:

SiNANO-NEREID Workshop: SiNANO-NEREID Workshop: Towards a new NanoElectronics Roadmap for Europe Leuven, September 11 th, 2017 WP3/Task 3.2 Connectivity RF and mmw Design Outline Connectivity, what connectivity? High data rates

More information

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING 3 rd Int. Conf. CiiT, Molika, Dec.12-15, 2002 31 DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING M. Stojčev, G. Jovanović Faculty of Electronic Engineering, University of Niš Beogradska

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3 [Partly adapted from Irwin and Narayanan, and Nikolic] 1 Reminders CAD assignments Please submit CAD5 by tomorrow noon CAD6 is due

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 5: Basic CMOS Inverter Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture

More information

Design Of Level Shifter By Using Multi Supply Voltage

Design Of Level Shifter By Using Multi Supply Voltage Design Of Level Shifter By Using Multi Supply Voltage Sowmiya J. 1, Karthika P.S 2, Dr. S Uma Maheswari 3, Puvaneswari G 1M. E. Student, Dept. of Electronics and Communication Engineering, Coimbatore Institute

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1

DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1 DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1 PG student, VLSI and Embedded systems, 2,3 Assistant professor of ECE Dept.

More information

Ramon Canal NCD Master MIRI. NCD Master MIRI 1

Ramon Canal NCD Master MIRI. NCD Master MIRI 1 Wattch, Hotspot, Hotleakage, McPAT http://www.eecs.harvard.edu/~dbrooks/wattch-form.html http://lava.cs.virginia.edu/hotspot http://lava.cs.virginia.edu/hotleakage http://www.hpl.hp.com/research/mcpat/

More information

Designing Of A New Low Voltage CMOS Schmitt Trigger Circuit And Its Applications on Reduce Power Dissipation

Designing Of A New Low Voltage CMOS Schmitt Trigger Circuit And Its Applications on Reduce Power Dissipation IJISET - International Journal of Innovative Science, Engineering & Technology, Vol. Issue 1, December 015. www.ijiset.com ISSN 348 7968 Designing Of A New Low Voltage CMOS Schmitt Trigger Circuit And

More information

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology. " Gate choice, logical optimization. " Fanin, fanout, Serial vs.

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology.  Gate choice, logical optimization.  Fanin, fanout, Serial vs. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Design Space Exploration Lec 18: March 28, 2017 Design Space Exploration, Synchronous MOS Logic, Timing Hazards 3 Design Problem Problem Solvable!

More information

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting Jonggab Kil Intel Corporation 1900 Prairie City Road Folsom, CA 95630 +1-916-356-9968 jonggab.kil@intel.com

More information

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics ECE 484 VLSI Digital Circuits Fall 2016 Lecture 02: Design Metrics Dr. George L. Engel Adapted from slides provided by Mary Jane Irwin (PSU) [Adapted from Rabaey s Digital Integrated Circuits, 2002, J.

More information

MANY integrated circuit applications require a unique

MANY integrated circuit applications require a unique IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 1, JANUARY 2008 69 A Digital 1.6 pj/bit Chip Identification Circuit Using Process Variations Ying Su, Jeremy Holleman, Student Member, IEEE, and Brian

More information

A Novel Technique to Reduce Write Delay of SRAM Architectures

A Novel Technique to Reduce Write Delay of SRAM Architectures A Novel Technique to Reduce Write Delay of SRAM Architectures SWAPNIL VATS AND R.K. CHAUHAN * Department of Electronics and Communication Engineering M.M.M. Engineering College, Gorahpur-73 010, U.P. INDIA

More information

Analysis of SRAM Bit Cell Topologies in Submicron CMOS Technology

Analysis of SRAM Bit Cell Topologies in Submicron CMOS Technology Analysis of SRAM Bit Cell Topologies in Submicron CMOS Technology Vipul Bhatnagar, Pradeep Kumar and Sujata Pandey Amity School of Engineering and Technology, Amity University Uttar Pradesh, Noida, INDIA

More information

電子電路. Memory and Advanced Digital Circuits

電子電路. Memory and Advanced Digital Circuits 電子電路 Memory and Advanced Digital Circuits Hsun-Hsiang Chen ( 陳勛祥 ) Department of Electronic Engineering National Changhua University of Education Email: chenhh@cc.ncue.edu.tw Spring 2010 2 Reference Microelectronic

More information

SRAM based 28 nm Technology for consumer electronics applications

SRAM based 28 nm Technology for consumer electronics applications IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 2, Ver. IV (Mar-Apr. 2014), PP 38-44 e-issn: 2319 4200, p-issn No. : 2319 4197 SRAM based 28 nm Technology for consumer electronics

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families

EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Homework 5 this week Lab

More information

Power Efficient adder Cell For Low Power Bio MedicalDevices

Power Efficient adder Cell For Low Power Bio MedicalDevices IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 2, Ver. III (Mar-Apr. 2014), PP 39-45 e-issn: 2319 4200, p-issn No. : 2319 4197 Power Efficient adder Cell For Low Power Bio MedicalDevices

More information

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Christophe Giacomotto 1, Mandeep Singh 1, Milena Vratonjic 1, Vojin G. Oklobdzija 1 1 Advanced Computer systems Engineering Laboratory,

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Optimization of power in different circuits using MTCMOS Technique

Optimization of power in different circuits using MTCMOS Technique Optimization of power in different circuits using MTCMOS Technique 1 G.Raghu Nandan Reddy, 2 T.V. Ananthalakshmi Department of ECE, SRM University Chennai. 1 Raghunandhan424@gmail.com, 2 ananthalakshmi.tv@ktr.srmuniv.ac.in

More information

Comparison of Power Dissipation in inverter using SVL Techniques

Comparison of Power Dissipation in inverter using SVL Techniques Comparison of Power Dissipation in inverter using SVL Techniques K. Kalai Selvi Assistant Professor, Dept. of Electronics & Communication Engineering, Government College of Engineering, Tirunelveli, India

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY

DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY Silpa Kesav 1, K.S.Nayanathara 2 and B.K. Madhavi 3 1,2 (ECE, CVR College of Engineering, Hyderabad, India) 3 (ECE, Sridevi Women s Engineering

More information

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits In this lab, we will be looking at ac signals with MOSFET circuits and digital electronics. The experiments will be performed

More information

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates R Ravikumar Department of Micro and Nano Electronics, VIT University, Vellore, India ravi10ee052@hotmail.com

More information

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) CSE 493/593 Test 2 Fall 2011 Solution 1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) Decreasing of W to make the gate slower,

More information

Pin 19 GPIO. Counters/Delay Generators CNT1 CNT2 CNT3 CNT4 CNT5 CNT6 CNT7 CNT8 CNT9. DFF/Latches. Pin 15 GPIO DFF0 DFF1 DFF2 DFF3 DFF4

Pin 19 GPIO. Counters/Delay Generators CNT1 CNT2 CNT3 CNT4 CNT5 CNT6 CNT7 CNT8 CNT9. DFF/Latches. Pin 15 GPIO DFF0 DFF1 DFF2 DFF3 DFF4 GreenPAK Programmable Mixed-signal Matrix Features Logic & Mixed Signal Circuits Highly Versatile Macro Cells Read Back Protection (Read Lock) 1.8V (±5%) to 5V (±10%) Supply Operating Temperature Range:

More information

Performance of Low Power SRAM Cells On SNM and Power Dissipation

Performance of Low Power SRAM Cells On SNM and Power Dissipation Performance of Low Power SRAM Cells On SNM and Power Dissipation Kanika Kaur 1, Anurag Arora 2 KIIT College of Engineering, Gurgaon, Haryana, INDIA Abstract: Over the years, power requirement reduction

More information

1P6M 0.18-µm Low Power CMOS Ring Oscillator for Radio Frequency Applications

1P6M 0.18-µm Low Power CMOS Ring Oscillator for Radio Frequency Applications 1P6M 0.18-µm Low Power CMOS Ring Oscillator for Radio Frequency Applications Ashish Raman and R. K. Sarin Abstract The monograph analysis a low power voltage controlled ring oscillator, implement using

More information

Design of Multiplier using Low Power CMOS Technology

Design of Multiplier using Low Power CMOS Technology Page 203 Design of Multiplier using Low Power CMOS Technology G.Nathiya 1 and M.Balasubramani 2 1 PG Student, Department of ECE, Vivekanandha College of Engineering for Women, India. Email: nathiya.mani94@gmail.com

More information

Voltage Controlled Ring Oscillator Design with Novel 3 Transistors XNOR/XOR Gates

Voltage Controlled Ring Oscillator Design with Novel 3 Transistors XNOR/XOR Gates Circuits and Systems, 2011, 2, 190-195 doi:10.4236/cs.2011.23027 Published Online July 2011 (http://www.scirp.org/journal/cs) Voltage Controlled Ring Oscillator Design with Novel 3 Transistors XNOR/XOR

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 CPE/EE 427, CPE 527 VLSI Design I L02: Design Metrics Department of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic ( www.ece.uah.edu/~milenka ) www.ece.uah.edu/~milenka/cpe527-03f

More information

CMOS Instrumentation Amplifier with Offset Cancellation Circuitry for Biomedical Application

CMOS Instrumentation Amplifier with Offset Cancellation Circuitry for Biomedical Application CMOS Instrumentation Amplifier with Offset Cancellation Circuitry for Biomedical Application Author Mohd-Yasin, Faisal, Yap, M., I Reaz, M. Published 2006 Conference Title 5th WSEAS Int. Conference on

More information

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders 12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders Mr.Devanaboina Ramu, M.tech Dept. of Electronics and Communication Engineering Sri Vasavi Institute of

More information

Pulse-Based Ultra-Wideband Transmitters for Digital Communication

Pulse-Based Ultra-Wideband Transmitters for Digital Communication Pulse-Based Ultra-Wideband Transmitters for Digital Communication Ph.D. Thesis Defense David Wentzloff Thesis Committee: Prof. Anantha Chandrakasan (Advisor) Prof. Joel Dawson Prof. Charles Sodini Ultra-Wideband

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Subthreshold SRAM Design for Energy Efficient Applications in Nanometric CMOS Technologies

Subthreshold SRAM Design for Energy Efficient Applications in Nanometric CMOS Technologies Subthreshold SRAM Design for Energy Efficient Applications in Nanometric CMOS Technologies by Morteza Nabavi A thesis presented to the University of Waterloo in fulfillment of the thesis requirement for

More information

A Low Power Switching Power Supply for Self-Clocked Systems 1. Gu-Yeon Wei and Mark Horowitz

A Low Power Switching Power Supply for Self-Clocked Systems 1. Gu-Yeon Wei and Mark Horowitz A Low Power Switching Power Supply for Self-Clocked Systems 1 Gu-Yeon Wei and Mark Horowitz Computer Systems Laboratory, Stanford University, CA 94305 Abstract - This paper presents a digital power supply

More information