Larger-than-Vdd Forward Body Bias in Sub-0.5V Nanoscale CMOS

Size: px
Start display at page:

Download "Larger-than-Vdd Forward Body Bias in Sub-0.5V Nanoscale CMOS"

Transcription

1 .2 Larger-than-Vdd Forward Body Bias in Sub-.V Nanoscale CMOS Hari Ananthan, Chris H. Kim and Kaushik Roy Dept. of Electrical and Computer Engineering, Purdue University 28 Electrical Engineering Building, West Lafayette IN , USA ABSTRACT This paper examines the effectiveness of larger-than-v dd forward body bias (FBB) in nanoscale bulk CMOS circuits where V dd is expected to scale below.v. Equal-to and larger-than V dd FBB schemes offer unique advantages over conventional FBB such as simple design overhead and reverse body bias capability respectively. Compared to zero body bias, they improve process-variation immunity and achieve 7% and 78% standby leakage savings at iso performance and iso active power at room temperature. We also suggest a novel temperature-adaptive body bias scheme to control active leakage and achieve 22% and 4% active power savings at higher temperatures. crease V t (and improve performance) have been proposed, both for logic and SRAM circuits [3, 4, 6]. Researchers have also pointed out the utility of FBB for process-variation immunity [, 7] and for designing ultimately-scaled lowtemperature CMOS circuits at nm gate length and.nm oxide thickness []. Categories and Subject Descriptors B.7. [Integrated Circuits]: Types and Design Styles; B.6. [Logic Design]: Design Styles General Terms Design, Performance Keywords Forward Body Bias, Process Variations, Sub-threshold Leakage, Junction Leakage. INTRODUCTION Dynamic threshold voltage (V t) the ability to dynamically tune MOSFET V t for high on-current during activemode (low-v t) and low off-current during standby-mode (high V t) helps the designer to more easily achieve system performance and power constraints. Dynamic body bias where the MOSFET body-source junction is forward biased in activemode and reverse biased in standby-mode is a popular technique to achieve dynamic-v t in bulk CMOS. Several circuit techniques that apply forward body bias (FBB) to de- Voltage (V) V dd V ss > V dd V fbb = V dd < V dd V dd (pmos) (nmos) V ss.2 Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. To copy otherwise, to republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. ISLPED 4, August 9, 24, Newport Beach, California, USA. Copyright 24 ACM /4/8...$ Technology node (nm) Figure : FBB scaling trend. 8

2 Scheme DBB Device nmos pmos nmos pmos Gate Length 38 nm Oxide thickness.3 nm FBB V dd (V)..3 V t (mv) 2 2 Active body bias (V) Standby body bias (V) ZBB V dd (V)..4 V t (mv) Figure 2: BPTM 7nm device and circuit parameters used. V t = V th in the model file. The last 2 rows represent the parameters for the iso performance ZBB scheme with which the corresponding FBB scheme is compared. With technology scaling, supply voltage (V dd ) is approaching the sub-v regime. The ITRS roadmap [2] predicts V dd.v for Low Operating Power (LOP) systems at the 22nm node (year 26). Assuming a constant FBB voltage ( ) of approximately.v with scaling, it is conceivable that at V dd.v, becomes equal to and eventually greater than V dd. An interesting aspect of = V dd is the ability to use simple inverters to generate and distribute the body bias signals. With >V dd, interchanging the FBB signals while in standby-mode achieves reverse body bias for extra leakage reduction. This paper evaluates these two scenarios and identifies their benefits and shortcomings. We make four main contributions in this work. First, we examine the FBB scaling trend and confirm the optimality of larger-than-v dd FBB at sub-.v V dd for performance improvement. Second, we propose two novel schemes Digital and Over-Driven Body Bias that have unique advantages over conventional FBB schemes in terms of simple design overhead and reverse body bias capability. Third, we identify a new component of active leakage between the n-well and the p-well that limits the usefulness of larger-than- V dd FBB at high temperatures. Fourth, we suggest a novel Temperature-Adaptive Body Bias () scheme to overcome the active leakage problem. 2. FORWARD BODY BIAS IN SUB-.V VDD 2. Scaling trend The ITRS roadmap predicts that V dd for LOP systems is likely to scale from.8v at the 6nm node to.v at the 22nm node. Fig. shows the FBB scaling trend as V dd scales from.2v to.3v, from the 3nm node to beyond the 8nm node at the end of the roadmap. is limited by the turn-on voltage of the source/drain-to-body junction around.6v. A constant =.V is assumed to be applied symmetrically to both NMOS and PMOS devices as technology scales. As V dd scales to sub-.v, first becomes equal to and then greater than V dd. While Fig. suggests that V dd <.V occurs only at the end of the roadmap, sub-.v voltages are also likely to be used between the 6nm and 22nm nodes for two reasons. Firstly, the use of active-mode FBB allows further scaling of V dd while maintaining performance this suggests that the ac- Figure 3: Effect of junction leakage on performance with increasing FBB. Ring oscillator frequency (normalized) V.7V.2 Temp=27 o C Temp= o C FBB (V) CMOS Output levels (V) V FBB (V) Figure 4: Performance vs FBB. V dd =.V. tual V dd under FBB at a particular node may be smaller than the ITRS-projected value. Secondly, ultra-low power applications might scale V dd more aggressively than ITRS projections. 2.2 Optimal FBB for performance This subsection demonstrates the optimality of largerthan-v dd FBB for performance improvement in a 7nm technology, and argues why it is likely to remain optimal at smaller technologies. A BPTM 7nm MOSFET model provided by the Device Group at UC Berkeley [] with parameters shown in Fig. 2 is used to run HSPICE [3] simulations. A -stage ring oscillator with both NMOS and PMOS devices connected in a two-high stack is simulated for delay measurements. FBB decreases V t, increases on-current and thus improves performance. However, researchers have previously reported that the performance peaks at.4v and then starts decreasing in a 3nm technology [7]. Fig. 3 shows the operating currents in a V dd =.V two-input NAND structure with >.V. As FBB increases, the exponentially increasing forward biased source/drain junction leakage (I junc) fights the close-to-linearly increasing on-current 9

3 leakage power (normalized) ZBB,.V DBB,.V ZBB.4V.3V Gate length (nm) Delay (normalized) ZBB,.V DBB,.V ZBB.4V.3V Gate length (nm) Figure 6: Variation of active-mode (a) sub-threshold leakage, and (b) delay with gate length. Figure : Unique advantages of (a) DBB, and (b). (I on) and slows the charging/discharging of output and internal nodes. This contention between I on and I junc also starts degrading the output high and low voltage levels. The increase in junction parasitic capacitance with FBB slows down the circuit further. The value of at which performance peaks depends to a first order on the ratio I on/i junc smaller the ratio, smaller the maximum that the device can tolerate before the increase in I junc starts dominating the increase in I on. Thus, it is important to verify whether a sub-.v system has its performance peak in the V dd regime. Fig. 4 shows the dependence of performance on FBB for V dd =.V. The optimal is close to.7v at 27 o C, and to.6v at o C in terms of frequency. In the latter case, the voltage levels start degrading at approximately =.V worsening static leakage, noise margin and gate overdrive. A similar behavior is observed for V dd =.3V. This difference between room temperature and high temperature behavior has been observed before [7]; the reason is the exponential increase in I junc with temperature. These results show that V dd is optimal for performance improvement at the 7nm node, irrespective of temperature. There are two reasons why the optimal FBB is likely to remain at around.-.6v for smaller technologies. Firstly, smaller channel length devices with similar V dd s (.V and.3v) generate larger I on simply as a result of larger drainto-source electric fields. Secondly, well/halo doping levels increase with scaling, thus increasing the source/drain-tobody built-in voltage and decreasing I junc relative to I on. Both factors contribute to increasing the ratio I on/i junc and thus maintain or increase the optimal FBB value. 3. UNIQUE ADVANTAGES OF DBB AND Conventional FBB schemes require the generation and distribution of separate body bias voltage levels using global and local analog bias generation circuitry [6]. The = V dd scheme reuses V dd and V ss rails for body biasing. As shown in Fig. (a), regular digital inverters can be used to generate and distribute the body bias signals. Hence this scheme is called Digital Body Bias (DBB). DBB has significantly less design overhead compared to conventional FBB. Further, inverters can be placed closer to the circuit block to buffer the body voltage; this makes DBB more robust to substrate noise than conventional FBB. As Fig. (b) shows, the > V dd scheme is called Over-Driven Body Bias () because the body bias voltage levels are driven beyond the supply rails. This scheme requires additional bias generation circuitry; however, the overhead can be justified by the additional unique capability of to achieve reverse body bias (RBB) in standbymode by interchanging the active-mode biases. Fig. (b) shows how the NMOS FBB signal in active-mode acts as the PMOS RBB signal in standby-mode and vice-versa. This enables extra standby leakage reduction compared to conventional FBB. 4. DBB AND PROCESS-VARIATIONS AND POWER The following subsections compare process-variation immunity and standby leakage between DBB and schemes and the respective iso performance ZBB schemes implemented using the devices and V dd s shown in Fig. 2. The forward body bias enables DBB and to operate using higher- V t devices at lower V dd compared to the respective ZBB schemes. Essentially, the ratio CV dd /I on is maintained constant this ensures iso performance. A 6-bit ripple carry adder layout from a.µ technology is extracted and scaled to 7nm by multiplying device dimensions and parasitic RC s by the technology scaling factor κ = 7 =.28. The adder operates at. GHz for DBB (V dd =.V), and. GHz for (V dd =.3V).The ZBB operating voltages in comparison are.v and.4v respectively.

4 Active mode energy/cycle (fj) Activity (27 o C) ZBB/DBB Activity (27 o C) ZBB/ Figure 7: Active power versus activity at 27 o Cfor (a) ZBB (.V) and DBB (.V), and (b) ZBB (.4V) and (.3V). 4. Process-variation immunity Channel length is usually considered the most critical dimension of the MOSFET for process-variations. This is primarily because of drain-induced barrier lowering (DIBL). When a short-channel device is off (V gate = ) and a large voltage is applied between drain and source (V ds = V dd ), the drain-side depletion region extends into the channel region and lowers the barrier for the injection of carriers from the source to the channel, effectively decreasing V t.asaresult, slightly longer devices tend to operate slower (higher-v t), while slightly shorter devices tend to leak more (lower-v t). Forward body bias (V bs >) decreases drain-to-body voltage (V db ); this decreases the drain-to-channel junction depletion width and hence reduces DIBL [9]. Further, using activemode FBB enables reduction of V dd compared to ZBB at iso performance; lower V dd further reduces DIBL. Smaller DIBL essentially decreases the sensitivity of V t to channel length. Fig. 6 shows that as FBB increases, the worst-case leakage and delay variation decreases. The nominal gate length is 38nm; worst-case leakage occurs at -% (28nm) and worstcase delay at +% (48nm). Clearly, the variation is smaller in DBB and compared to the respective ZBB cases. 4.2 Standby leakage Standby leakage power is dominated by sub-threshold sourceto-drain leakage. Other nanoscale leakage components such as gate oxide tunneling and junction band-to-band tunneling (from source/drain-to-body) are negligible at such low voltages. By interchanging the active-mode body bias signals while in standby-mode, the DBB circuit is ZBB, while the circuit is under RBB. Compared to ZBB, both DBB and use higher-v t devices at lower V dd.bothhigh-v t and low V dd (through smaller DIBL) reduce sub-threshold leakage. DBB and achieve 7% and 78% reduction respectively compared to the respective ZBB cases. 4.3 Room temperature active power Active power includes two components: swtiching power and active leakage n + p + -epi e8 cm -3 p-substrate e cm -3 n-well e7 cm -3 pmos p + p + n + n + p + Oxide Isolation Forward bias current _ + V well = - nmos p-well e7 cm -3 Figure 8: Twin-well on p + -epi layer structure assumed for well leakage estimation. MOSFETs shown are not included in the simulation structure. Voltage (V) Well leakage (log) (A/u 2 ) V well Technology node (nm) Temp=27 o C Temp= o C DBB Conventional FBB V > well (forward bias) V dd V ss V < well (reverse bias) Figure 9: Well leakage scaling trend. V well = is superimposed on the scaling scenario from Fig.. P sw = αc load Vddf, 2 P leak = V dd I off = V dd (I sub + I junc + I well ), P sw is directly proportional to the activity factor α the fraction of cycles the adder is used for computation while in the active-mode. Active leakage in ZBB is predominantly sub-threshold leakage (I sub ). In DBB and, active leakage also includes forward-biased body-to-source junction leakage (I junc), and well leakage (I well ) between the n-well and the p-well (discussed in the next subsection). Both I junc and I well are negligible at room temperature. Fig. 7 plots active power against activity factor for ZBB/DBB and ZBB/ at 27 o C. A set of random transitions is applied to the adder and the average value is calculated. At 27 o C, DBB and consume approximately equal power

5 Active mode energy/cycle (fj) 3 2 Junction Well 2 activity =. activity =. 8% 2% 89% 3 % 2% 8% 27 7 Temperature (C) ZBB/DBB 27 7 Temperature (C) ZBB/ Figure : Active power versus temperature at. activity for (a) ZBB (.V) and DBB (.V), and (b) ZBB (.4V) and (.3V). compared to the respective ZBB cases at low activity DBB consumes 9% less, while consumes % more at α =.. The FBB schemes achieve significant savings at higher activities. This is because as α increases, switching power becomes a more significant component of active power than leakage; thus the effect of lower V dd becomes increasingly prominent. 4.4 Impact of active leakage at high temperature Assuming the circuit is clocked at the same frequency at all temperatures, switching power remains nearly constant as temperature increases. However, both sub-threshold and forward-biased junction leakage increase exponentially with temperature. A new component of leakage well leakage between the n-well and the p-well also becomes a significant fraction of active leakage. Fig. 8 shows the twin-well structure [8] assumed for well leakage simulations using a device simulator [4]. NMOS and PMOS devices are built in the p-well and the n-well respectively, and isolation between the wells is achieved through an oxide trench between and a p + -layer below. In ZBB, MOSFET body is tied to source NMOS body voltage is ( = V ss) and PMOS body voltage is V dd ( = V dd ). This ensures that V well ( ) is negative; thus the n- well to p-well junction is reverse-biased and well leakage is negligible. Fig. 9 shows the scaling scenario for FBB (derived from Fig. ) where as V dd scales under constant.v FBB, becomes smaller than at around 9nm that is, V well >. This results in a forward bias across the wells, and well leakage increases exponentially with V well and with temperature. Fig. plots active power against operating temperature for ZBB/DBB and ZBB/ at the worst-case activity α =.. The percentages shown depict the penalty associated with the FBB schemes over the respective ZBB schemes. While DBB and consume near-iso active power at lower temperatures, the increase in active leakage starts overwhelming the decrease in switching power at higher temperatures. Thus, DBB and are attractive FBB schemes for Figure : scheme. near-room temperature operation. The advantages include simple design overhead (DBB), reverse bias capability (), improved process-variation immunity, lower standby leakage and equal or lower active power at iso performance compared to ZBB. However, the increase in active leakage increases the active power penalty and limits their usefulness at higher temperatures.. TEMPERATURE-ADAPTIVE BODY BIAS Researchers have shown that at low operating voltages (sub-v), drain current increases with temperature as opposed to the usual trend at higher V dd s []. I on is directly proportional to the product µ(v dd V t) α,whereµ is the carrier mobility and α is a technology-dependent parameter. As temperature increases, both µ and V t decrease, however the former effect is usually more dominant [6]. Thus I on (and hence performance) decreases as temperature increases in high-v dd systems. This trend is reversed at low-v dd decrease in V t has a bigger impact on I on than mobility degradation. Thus, the circuit performs faster at higher temperatures than the clock frequency. This fact has been exploited to throttle performance and save switching power by lowering V dd at high temperature [], and to suppress the impact of temperature variations on performance in sub-threshold logic circuits through adaptive body biasing [2]. We exploit this positive temperature dependence to suggest a Temperature-Adaptive Body Bias () scheme that overcomes the high temperature active leakage problem in DBB and. Previously proposed feedback-based body bias generation schemes that track critical path delay [] as shown in Fig. can be used to implement a temperature-adaptive system that scales down as temperature increases, while maintaining performance. Fig. 2(a) shows the increase in performance with temperature for ZBB, DBB and, and the constancy of performance for ; Fig. 2(b) plots the corresponding FBB voltage applied. While DBB and apply constant large biases, scales down by around.3-.4v at o C to maintain performance while reducing active leakage. This reduction is shown in Fig. 3 reduces active power compared to ZBB even at high temperature/low activity. The reduction is 22% for the DBB case and 4% for the case at α =.. The savings remain nearly constant at higher activities. 2

6 Ring oscillator frequency (normalized) V =.V/.V dd V =.3V/.4V dd ZBB DBB ZBB Temperature ( o C) FBB applied (V) ZBB DBB Temperature ( o C) Figure 2: Comparison between ZBB/DBB, ZBB/ and (a) Performance vs Temperature, and (b) FBB applied. Active mode energy/cycle (fj) Junction Well Activity ( o C) ZBB/DBB/ Activity ( o C) ZBB// Figure 3: Active power comparison at o Cbetween (a) ZBB (.V), DBB (.V) and (.V), and (b) ZBB (.4V), (.3V) and (.3V). Thus, for high temperature operation, is an attractive FBB scheme with V dd at near-room temperatures and progressively decreasing at higher temperatures. The design overhead for is greater than DBB and. However it is justified by the advantages compared to ZBB better process-variation immunity, lower standby leakage and lower active power at iso performance at all temperatures. 6. CONCLUSION Given that V dd is scaled aggressively with technology for low power systems, forward body bias in nanoscale sub-.v V dd systems is evaluated and larger-than-v dd FBB is found to be an optimal design strategy for performance improvement. We propose two novel FBB schemes Digital and Over-Driven Body Bias that provide unique advantages over conventional FBB in terms of simple design overhead and reverse body bias capability respectively. DBB and can be used to increase device-v t and decrease V dd, and thus save standby leakage compared to ZBB at iso performance. They also reduce the spread in delay and leakage due to process-variations. While these schemes consume equal or less active power than ZBB for near-room temperature operation, excessive active leakage including well leakage limits their usefulness at higher temperatures. A novel Temperature-Adaptive Body Bias scheme is proposed to control active leakage by scaling down as temperature increases, thus saving active power while maintaining performance. 7. ACKNOWLEDGEMENT This work was supported in part by Semiconductor Research Corporation, and by IBM and Intel. 8. REFERENCES [] Berkeley Predictive Technology Model, 22, ptm. [2] International Technology Roadmap for Semiconductors, 23, [3] K. Ishibashi, T. Yamashita, Y. Arima, I. Minematsu, and T. Fujimoto. A 9µW MHz 32b adder using self-adjusted forward body bias in SoCs. In Intl. Solid-State Circuits Conf. Dig. Tech. Papers, pages, 23. [4] C. Kim, J.-J. Kim, S. Mukhopadhyay, and K. Roy. A forward body-biased low-leakage SRAM Cache: device and architecture considerations. In Proc. Intl. Symp. Low Power Electronics and Design, pages 6 9, 22. [] M. Miyazaki, G. Ono, and K. Ishibashi. A.2-GIPS/W Microprocessor using speed-adaptive threshold-voltage CMOS with forward bias. IEEE J. Solid-State Circuits, 37(2):2 27, February 22. [6] S. Narendra et al. A.V GHz communications router with on-chip body bias in nm CMOS. In Intl. Solid-State Circuits Conf. Dig. Tech. Papers, pages , 22. [7] S. Narendra, A. Keshavarzi, B. Bloechel, S. Borkar, and V. De. Forward body bias for microprocessors in 3nm technology generation and beyond. IEEE J. Solid-State Circuits, 38():696 7, May 23. [8] S.Odanaka,T.Y.amdN.Shimizu,H.Umimoto,and T. Ohzone. A self-aligned retrograde twin-well structure with buried p + -layer. IEEE Trans. Electron Devices, 37(7):73 742, July 99. [9] Y. Oowaki et al. A sub-.µm circuit design with substrate-over-biasing. In Intl. Solid-State Circuits Conf. Dig. Tech. Papers, pages 88 89,42, 998. [] C. Park et al. Reversal of temperature dependence of integrated circuits operating at very low voltages. In Proc. Intl. Electron Devices Meeting, pages 7 74, 99. [] K. Shakeri and J. Meindl. Temperature variable supply voltage for power reduction. In Proc. IEEE Computer Society Annual Symp. VLSI, pages 64 67, 22. [2] H. Soeleman, K. Roy, and B. Paul. Robust sub-threshold logic for ultra-low power operation. IEEE Trans. VLSI, 9():9 99, Feb 2. [3] Synopsys. HSPICE Ver [4] Synopsys. Taurus-Device Ver [] Y. Taur. CMOS scaling beyond.µm: how far can it go? In Proc. Intl. Symp. VLSI Tech., Systems and Appl., pages 6 9, 999. [6] Y. Taur and T. Ning. Fundamentals of modern VLSI devices. Cambridge University Press, 23. 3

Larger-than-Vdd Forward Body Bias in Sub-0.5V Nanoscale CMOS

Larger-than-Vdd Forward Body Bias in Sub-0.5V Nanoscale CMOS 1.2 Larger-than-Vdd Forward Body Bias in Sub-0.5V Nanoscale CMOS Hari Ananthan, Chris H. Kim and Kaushik Roy Dept. of Electrical and Computer Engineering, Purdue University 1285 Electrical Engineering

More information

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting Jonggab Kil Intel Corporation 1900 Prairie City Road Folsom, CA 95630 +1-916-356-9968 jonggab.kil@intel.com

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Microelectronics Journal 39 (2008) 1714 1727 www.elsevier.com/locate/mejo Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Ranjith Kumar, Volkan Kursun Department

More information

A Novel Continuous-Time Common-Mode Feedback for Low-Voltage Switched-OPAMP

A Novel Continuous-Time Common-Mode Feedback for Low-Voltage Switched-OPAMP 10.4 A Novel Continuous-Time Common-Mode Feedback for Low-oltage Switched-OPAMP M. Ali-Bakhshian Electrical Engineering Dept. Sharif University of Tech. Azadi Ave., Tehran, IRAN alibakhshian@ee.sharif.edu

More information

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ Hendrawan Soeleman, Kaushik Roy, and Bipul Paul Purdue University Department of Electrical and Computer Engineering West Lafayette, IN 797, USA fsoeleman,

More information

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique Total reduction of leakage power through combined effect of Sleep and variable body biasing technique Anjana R 1, Ajay kumar somkuwar 2 Abstract Leakage power consumption has become a major concern for

More information

4 principal of JNTU college of Eng., JNTUH, Kukatpally, Hyderabad, A.P, INDIA

4 principal of JNTU college of Eng., JNTUH, Kukatpally, Hyderabad, A.P, INDIA Efficient Power Management Technique for Deep-Submicron Circuits P.Sreenivasulu 1, Ch.Aruna 2 Dr. K.Srinivasa Rao 3, Dr. A.Vinaya babu 4 1 Research Scholar, ECE Department, JNTU Kakinada, A.P, INDIA. 2

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs 1 Outline Variations Process, supply voltage, and temperature

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-30nm CMOS Technologies Bhaskar Chatterjee, Manoj Sachdev Ram Krishnamurthy * Department of Electrical and Computer

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies Low-Power and Process Variation Tolerant Memories in sub-9nm Technologies Saibal Mukhopadhyay, Swaroop Ghosh, Keejong Kim, and Kaushik Roy Dept. of ECE, Purdue University, West Lafayette, IN, @ecn.purdue.edu

More information

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design Anu Tonk Department of Electronics Engineering, YMCA University, Faridabad, Haryana tonkanu.saroha@gmail.com Shilpa Goyal

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Ultra Low Power VLSI Design: A Review

Ultra Low Power VLSI Design: A Review International Journal of Emerging Engineering Research and Technology Volume 4, Issue 3, March 2016, PP 11-18 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Ultra Low Power VLSI Design: A Review G.Bharathi

More information

An Overview of Static Power Dissipation

An Overview of Static Power Dissipation An Overview of Static Power Dissipation Jayanth Srinivasan 1 Introduction Power consumption is an increasingly important issue in general purpose processors, particularly in the mobile computing segment.

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Microelectronics and Solid State Electronics 2013, 2(2): 24-28 DOI: 10.5923/j.msse.20130202.02 Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Keerti Kumar. K

More information

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Christophe Giacomotto 1, Mandeep Singh 1, Milena Vratonjic 1, Vojin G. Oklobdzija 1 1 Advanced Computer systems Engineering Laboratory,

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

A High Performance IDDQ Testable Cache for Scaled CMOS Technologies

A High Performance IDDQ Testable Cache for Scaled CMOS Technologies A High Performance IDDQ Testable Cache for Scaled CMOS Technologies Swarup Bhunia, Hai Li and Kaushik Roy Purdue University, 1285 EE Building, West Lafayette, IN 4796 {bhunias, hl, kaushik}@ecn.purdue.edu

More information

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Muralidharan Venkatasubramanian Auburn University vmn0001@auburn.edu Vishwani D. Agrawal Auburn University vagrawal@eng.auburn.edu

More information

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible A Forward-Body-Bias Tuned 450MHz Gm-C 3 rd -Order Low-Pass Filter in 28nm UTBB FD-SOI with >1dBVp IIP3 over a 0.7-to-1V Supply Joeri Lechevallier 1,2, Remko Struiksma 1, Hani Sherry 2, Andreia Cathelin

More information

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Anjana R 1, Dr. Ajay kumar somkuwar 2 1 Asst.Prof & ECE, Laxmi Institute of Technology, Gujarat 2 Professor

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

Leakage Current Analysis

Leakage Current Analysis Current Analysis Hao Chen, Latriese Jackson, and Benjamin Choo ECE632 Fall 27 University of Virginia , , @virginia.edu Abstract Several common leakage current reduction methods such

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

Pushing Ultra-Low-Power Digital Circuits

Pushing Ultra-Low-Power Digital Circuits Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era David Bol Microelectronics Laboratory Ph.D public defense December 16, 2008 Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era

More information

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME Neeta Pandey 1, Kirti Gupta 2, Rajeshwari Pandey 3, Rishi Pandey 4, Tanvi Mittal 5 1, 2,3,4,5 Department of Electronics and Communication Engineering, Delhi Technological

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

Reducing Transistor Variability For High Performance Low Power Chips

Reducing Transistor Variability For High Performance Low Power Chips Reducing Transistor Variability For High Performance Low Power Chips HOT Chips 24 Dr Robert Rogenmoser Senior Vice President Product Development & Engineering 1 HotChips 2012 Copyright 2011 SuVolta, Inc.

More information

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 33-1 Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 Contents: 1. MOSFET scaling

More information

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS http:// A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS Ruchiyata Singh 1, A.S.M. Tripathi 2 1,2 Department of Electronics and Communication Engineering, Mangalayatan University

More information

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Behnam Amelifard Department of EE-Systems University of Southern California Los Angeles, CA (213)

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 5 Ver. II (Sep Oct. 2015), PP 109-115 www.iosrjournals.org Reduce Power Consumption

More information

ISSN:

ISSN: 1061 Area Leakage Power and delay Optimization BY Switched High V TH Logic UDAY PANWAR 1, KAVITA KHARE 2 12 Department of Electronics and Communication Engineering, MANIT, Bhopal 1 panwaruday1@gmail.com,

More information

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER Ashwini Khadke 1, Paurnima Chaudhari 2, Mayur More 3, Prof. D.S. Patil 4 1Pursuing M.Tech, Dept. of Electronics and Engineering, NMU, Maharashtra,

More information

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #8: Leakage Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: Low Power Interconnect Finish Lecture 7 Leakage Mechanisms Circuit Styles for Low Leakage

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

Leakage Power Reduction in CMOS VLSI

Leakage Power Reduction in CMOS VLSI Leakage Power Reduction in CMOS VLSI 1 Subrat Mahalik Department of ECE, Mallareddy Engineering College (Autonomous), Hyderabad, India 2 M. Bhanu Teja Department of ECE, Mallareddy Engineering College

More information

Leakage Power Reduction by Using Sleep Methods

Leakage Power Reduction by Using Sleep Methods www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 2 Issue 9 September 2013 Page No. 2842-2847 Leakage Power Reduction by Using Sleep Methods Vinay Kumar Madasu

More information

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE RESEARCH ARTICLE OPEN ACCESS Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19)

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY Abhishek Sharma 1,Shipra Mishra 2 1 M.Tech. Embedded system & VLSI Design NITM,Gwalior M.P. India

More information

Leakage Current in Low Standby Power and High Performance Devices: Trends and Challenges

Leakage Current in Low Standby Power and High Performance Devices: Trends and Challenges Leakage Current in Low Standby Power and High Performance Devices: Trends and Challenges (Invited Paper) Geoffrey C-F Yeap Motorola Inc., DigitalDNA Laboratories, 3501 Ed Bluestein Blvd., MD: K10, Austin,

More information

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3 [Partly adapted from Irwin and Narayanan, and Nikolic] 1 Reminders CAD assignments Please submit CAD5 by tomorrow noon CAD6 is due

More information

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique International Journal of Electrical Engineering. ISSN 0974-2158 Volume 10, Number 3 (2017), pp. 323-335 International Research Publication House http://www.irphouse.com Minimizing the Sub Threshold Leakage

More information

SUBTHRESHOLD CIRCUIT DESIGN FOR HIGH PERFORMANCE

SUBTHRESHOLD CIRCUIT DESIGN FOR HIGH PERFORMANCE SUBTHRESHOLD CIRCUIT DESIGN FOR HIGH PERFORMANCE K. VIKRANTH REDDY 1, M. MURALI KRISHNA 2, K. LAL KISHORE 3 1 M.Tech. Student, Department of ECE, GITAM University, Visakhapatnam, INDIA 2 Assistant Professor,

More information

19. Design for Low Power

19. Design for Low Power 19. Design for Low Power Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 November 8, 2017 ECE Department, University of Texas at

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com COMPARISON AMONG DIFFERENT INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN HARSHVARDHAN UPADHYAY* ABHISHEK CHOUBEY**

More information

Semiconductor TCAD Tools

Semiconductor TCAD Tools Device Design Consideration for Nanoscale MOSFET Using Semiconductor TCAD Tools Teoh Chin Hong and Razali Ismail Department of Microelectronics and Computer Engineering, Universiti Teknologi Malaysia,

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Active Decap Design Considerations for Optimal Supply Noise Reduction

Active Decap Design Considerations for Optimal Supply Noise Reduction Active Decap Design Considerations for Optimal Supply Noise Reduction Xiongfei Meng and Resve Saleh Dept. of ECE, University of British Columbia, 356 Main Mall, Vancouver, BC, V6T Z4, Canada E-mail: {xmeng,

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER

LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER International Journal Of Advance Research In Science And Engineering http:// LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER Raju Hebbale 1, Pallavi Hiremath 2 1,2 Department

More information

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style International Journal of Advancements in Research & Technology, Volume 1, Issue3, August-2012 1 Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style Vishal Sharma #, Jitendra Kaushal Srivastava

More information

Evaluation of Low-Leakage Design Techniques for Field Programmable Gate Arrays

Evaluation of Low-Leakage Design Techniques for Field Programmable Gate Arrays Evaluation of Low-Leakage Design Techniques for Field Programmable Gate Arrays Arifur Rahman and Vijay Polavarapuv Department of Electrical and Computer Engineering, Polytechnic University, Brooklyn, NY

More information

Self-Calibration Technique for Reduction of Hold Failures in Low-Power Nano-scaled SRAM

Self-Calibration Technique for Reduction of Hold Failures in Low-Power Nano-scaled SRAM Self-Calibration Technique for Reduction of Hold Failures in Low-Power Nano-scaled SRAM Swaroop Ghosh, Saibal Mukhopadhyay, Keejong Kim, and, Kaushik Roy School of Electrical and Computer Engineering,

More information

Stacked-FET linear SOI CMOS SPDT antenna switch with input P1dB greater than

Stacked-FET linear SOI CMOS SPDT antenna switch with input P1dB greater than LETTER IEICE Electronics Express, Vol.9, No.24, 1813 1822 Stacked-FET linear SOI CMOS SPDT antenna switch with input P1dB greater than 40 dbm Donggu Im 1a) and Kwyro Lee 1,2 1 Department of EE, Korea Advanced

More information

A 3-10GHz Ultra-Wideband Pulser

A 3-10GHz Ultra-Wideband Pulser A 3-10GHz Ultra-Wideband Pulser Jan M. Rabaey Simone Gambini Davide Guermandi Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2006-136 http://www.eecs.berkeley.edu/pubs/techrpts/2006/eecs-2006-136.html

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

An Analytical model of the Bulk-DTMOS transistor

An Analytical model of the Bulk-DTMOS transistor Journal of Electron Devices, Vol. 8, 2010, pp. 329-338 JED [ISSN: 1682-3427 ] Journal of Electron Devices www.jeldev.org An Analytical model of the Bulk-DTMOS transistor Vandana Niranjan Indira Gandhi

More information

Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE

Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE Abstract Employing

More information

Comparison of Power Dissipation in inverter using SVL Techniques

Comparison of Power Dissipation in inverter using SVL Techniques Comparison of Power Dissipation in inverter using SVL Techniques K. Kalai Selvi Assistant Professor, Dept. of Electronics & Communication Engineering, Government College of Engineering, Tirunelveli, India

More information

Design of 45 nm Fully Depleted Double Gate SOI MOSFET

Design of 45 nm Fully Depleted Double Gate SOI MOSFET Design of 45 nm Fully Depleted Double Gate SOI MOSFET 1. Mini Bhartia, 2. Shrutika. Satyanarayana, 3. Arun Kumar Chatterjee 1,2,3. Thapar University, Patiala Abstract Advanced MOSFETS such as Fully Depleted

More information

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology Research Paper American Journal of Engineering Research (AJER) e-issn : 2320-0847 p-issn : 2320-0936 Volume-3, Issue-9, pp-15-19 www.ajer.org Open Access Design of a Low Voltage low Power Double tail comparator

More information

Sub-threshold Logic Circuit Design using Feedback Equalization

Sub-threshold Logic Circuit Design using Feedback Equalization Sub-threshold Logic Circuit esign using Feedback Equalization Mahmoud Zangeneh and Ajay Joshi Electrical and Computer Engineering epartment, Boston University, Boston, MA, USA {zangeneh, joshi}@bu.edu

More information

Lecture-45. MOS Field-Effect-Transistors Threshold voltage

Lecture-45. MOS Field-Effect-Transistors Threshold voltage Lecture-45 MOS Field-Effect-Transistors 7.4. Threshold voltage In this section we summarize the calculation of the threshold voltage and discuss the dependence of the threshold voltage on the bias applied

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

Double-Gate SOI Devices for Low-Power and High-Performance Applications

Double-Gate SOI Devices for Low-Power and High-Performance Applications Double-Gate SOI Devices for Low-Power and High-Performance Applications Kaushik Roy*, Hamid Mahmoodi**, Saibal Mukhopadhyay*, Hari Ananthan*, Aditya Bansal*, and Tamer Cakici* *Dept. of Electrical and

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY International Journal of Knowledge Management & e-learning Volume 3 Number 1 January-June 2011 pp. 1-5 DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY K. Nagarjuna Reddy 1, K. V. Ramanaiah 2 & K. Sudheer

More information

Study of Outpouring Power Diminution Technique in CMOS Circuits

Study of Outpouring Power Diminution Technique in CMOS Circuits Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 11, November 2014,

More information

DESIGN OF A CHARGE PUMP-BASED BODY BIAS GENERATOR FOR FDSOI CIRCUITS A

DESIGN OF A CHARGE PUMP-BASED BODY BIAS GENERATOR FOR FDSOI CIRCUITS A DESIGN OF A CHARGE PUMP-BASED BODY BIAS GENERATOR FOR FDSOI CIRCUITS A Master's Thesis Submitted to the Faculty of the Escola Tècnica d'enginyeria de Telecomunicació de Barcelona Universitat Politècnica

More information

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University Low-Power VLSI Seong-Ook Jung 2011. 5. 6. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical l & Electronic Engineering i Contents 1. Introduction 2. Power classification 3. Power

More information

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications ABSTRACT Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications Abhishek Sharma,Gunakesh Sharma,Shipra ishra.tech. Embedded system & VLSI Design NIT,Gwalior.P. India

More information

LEAKAGE IN NANOMETER CMOS TECHNOLOGIES

LEAKAGE IN NANOMETER CMOS TECHNOLOGIES LEAKAGE IN NANOMETER CMOS TECHNOLOGIES SERIES ON INTEGRATED CIRCUITS AND SYSTEMS Anantha Chandrakasan, Editor Massachusetts Institute of Technology Cambridge, Massachusetts, USA Published books in the

More information

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic M.Manikandan 2,Rajasri 2,A.Bharathi 3 Assistant Professor, IFET College of Engineering, Villupuram, india 1 M.E,

More information

/$ IEEE

/$ IEEE 232 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 18, NO. 2, FEBRUARY 2010 Leakage Delay Tradeoff in FinFET Logic Circuits: A Comparative Analysis With Bulk Technology Matteo Agostinelli,

More information

Domino Static Gates Final Design Report

Domino Static Gates Final Design Report Domino Static Gates Final Design Report Krishna Santhanam bstract Static circuit gates are the standard circuit devices used to build the major parts of digital circuits. Dynamic gates, such as domino

More information

A gate sizing and transistor fingering strategy for

A gate sizing and transistor fingering strategy for LETTER IEICE Electronics Express, Vol.9, No.19, 1550 1555 A gate sizing and transistor fingering strategy for subthreshold CMOS circuits Morteza Nabavi a) and Maitham Shams b) Department of Electronics,

More information

Comparison of Leakage Power Reduction Techniques in 65nm Technologies

Comparison of Leakage Power Reduction Techniques in 65nm Technologies Comparison of Leakage Power Reduction Techniques in Technologies Vikas inghai aima Ayyub Paresh Rawat ABTRACT The rapid progress in semiconductor technology have led the feature sizes of transistor to

More information

Leakage Control for Deep-Submicron Circuits

Leakage Control for Deep-Submicron Circuits Leakage Control for Deep-Submicron Circuits Kaushik Roy, Hamid Mahmoodi-Meimand, and Saibal Mukhopadhyay School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN, USA {kaushik,

More information

Extreme Temperature Invariant Circuitry Through Adaptive DC Body Biasing

Extreme Temperature Invariant Circuitry Through Adaptive DC Body Biasing Extreme Temperature Invariant Circuitry Through Adaptive DC Body Biasing W. S. Pitts, V. S. Devasthali, J. Damiano, and P. D. Franzon North Carolina State University Raleigh, NC USA 7615 Email: wspitts@ncsu.edu,

More information

Energy Reduction of Ultra-Low Voltage VLSI Circuits by Digit-Serial Architectures

Energy Reduction of Ultra-Low Voltage VLSI Circuits by Digit-Serial Architectures Energy Reduction of Ultra-Low Voltage VLSI Circuits by Digit-Serial Architectures Muhammad Umar Karim Khan Smart Sensor Architecture Lab, KAIST Daejeon, South Korea umar@kaist.ac.kr Chong Min Kyung Smart

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS.

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. Abstract This paper presents a novel SRAM design for nanoscale CMOS. The new design addresses

More information

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b.

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. a PGMICRO, Federal University of Rio Grande do Sul, Porto Alegre, Brazil b Institute

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information