II. PROPOSED ADAPTIVE BODY BIAS CIRCUIT

Size: px
Start display at page:

Download "II. PROPOSED ADAPTIVE BODY BIAS CIRCUIT"

Transcription

1 Process Aware Circuit Design Using Adaptive Body Biasing Raghvendra Chanpuriya, Anurag Shrivastava, Vijay K. Magraiya Department of EC SRCEM Banmore (M.P.) Abstract The process variation has become inevitable in the present VLSI technology. The IC designed without consideration of process variation fails to do operation correctly Numbers of techniques has proposed to mitigate the effect of process and NBTI effect but have limitation. In this paper Adaptive Body Bias technique is proposed which mitigate the effect of NBTI and process variation. The simulation result shows that proposed method compensate the change in threshold voltage due to process variation/nbti. Index Terms Adaptive Body Bias (ABB), Forward Body Bias (FBB), Intradie, Interdie, Negative Bias Temperature Instability (NBTI), Process Variation, SRAM, VLSI. I. INTRODUCTION With increasing the complexity of IC s, it demands to increase the no. transistor s on the same IC i.e. the no. of transistor is being fabricated on the IC are increasing and following the Moore s law. To increase the chip density the size of the transistor has to be scale down which causes process variation. The process variation become severe as technology is scaled.process variation occurs due to the limitation of fabrication process.parameter variations can be considered into two broad areas as spatial and temporal. A. Spatial Variations: The variation in device characteristics at t = 0 s is due to spatial process variation. Such variation can be subdivided into interdie and intradie process variations. Parametric variations between dies that come from Altered runs, lots, and wafers are considered into interdie variations whereas variation of transistor strengths within the same die are defined as intradie variations. Fluctuations in length, width, flat-band conditions,oxide thickness etc., cause interdie process variations while line edge roughness (LER) or random dopant fluctuations (RDFs) give rise to intra-die random variations in process parameters [2] [6]. B. Temporal Variations: Until now we have discussed the impact of process Variability on the device characteristics. Due to scaled dimensions, the operating conditions of the ICs also change circuit performance. Here we describe several mechanisms responsible for temporal variations. C. NBTI: In particular, temporal reliability issue NBTI is the primary reliability concern for Nano-scale transistors. NBTI is a result of continuous trap generation in Si-SiO2 interface of pmos transistors [1,5]. Fig. 1 NBTI Effect In bulk-mosfets, dangling Si bonds exists due to structural mismatch at the Si-SiO2 interface which acts as charged interfacial traps. Usually, hydrogen passivation is applied at the silicon surface after the oxidation which converts the dangling Si atoms to Si-H atoms.however, with age and voltage stress these Si-H bonds break during the operation and again forming the interfacial traps and thereby increasing the threshold voltage of pmos devices [6]. The interaction of inversion layer holes with hydrogen-passivated Si atoms can break the Si-H bonds, creating the interfacial traps and neutral H atoms. These H atoms can either form H2 molecules or can anneal any existing traps. NBTI is typically seen as a threshold voltage shift after a negative bias has been applied to a MOS gate at elevated temperature, mainly affecting the pmos transistors. II. PROPOSED ADAPTIVE BODY BIAS CIRCUIT Lot of research has been done to overcome the effect of process variation at different level of abstraction (device / circuit /architecture. Numbers of techniques has proposed to mitigate the effect of process and NBTI effect but have limitation [3].Here we will be focus about body biasing techniques. Adaptive body bias (ABB) permits the alteration of the transistor threshold voltage by governing the transistor body-to-sourcevoltage. A forward body bias (FBB) (i.e.,v SB >0) reduces threshold voltage Vt, increasing the device speed at the expense of increased leakage power. Alternatively, a reverse body bias (RBB) (i.e.,v SB <0) increases, reducing the leakage power but slowing the device [4]. Therefore, the effect of process variations is mitigated by ABB circuit]. In this paper, a novel direct ABB (D-ABB) circuit is proposed.it is based on estimation circuits and direct adaptivecontrol of the body bias, accomplished by an controller circuit. This direct controller circuit produces the appropriate body bias voltage, the goal of the proposed D-ABB is to reduce the process variations impact by considering D2D and 10

2 WID variations. This goal is achieved by using a direct controller circuit which exhibit slow area overhead compared to other ABBcircuits. The proposed D-ABB circuit is depicted in Fig. 3. For the bias voltages. A sensing circuit estimates the actual values of the threshold voltages, which are impacted by the process variations and NBTI. The sensing circuit for the pmos transistor, shown in Fig. 3, outputs an estimate for the pmos threshold voltage, denoted by V out = r (V DD - V tpstressed ) That is given to an amplifier circuit and a squaring circuit to produce the required bias voltage, which is capable of reducing the impact of NBTI. The implementations of the sensing circuit, the amplifier circuit and the squaring circuit are given in below respectively. Fig.2 D-ABB circuit In the proposed D-ABB circuit, the effect of NBTI on V TP is compensated by estimating the actual value of V TP, which is impacted by NBTI, by using an estimation circuit. Then, the analog controller generates the appropriate body-bias voltagev SB, to mitigate the NBTI impact. The analog controller is a direct implementation of the relationship between V TP and V SB. In [9] and [10], the relationship between V TP and V SB for a pmos transistor is given by V TP = V tpo + V t BB And V tp BB = γ [(ɸ F V SB ) ½ - (2ɸ F ) ½ ] (1) Where Vtpo is the pmos transistor threshold voltage at zero body bias (i.e., when V SB = 0), V tp BB is the body-bias effect on V TP, γ is the body effect coefficient, and ɸ F is the Fermi potential with respect to the midgap in the Body. [10], if V tpo is increased due to NBTI by V tp NBTI. Therefore, the body-bias voltage, V SB, compensates for this NBTI by producing a threshold voltage change, V tp BB, that terminate the NBI change, V tp NBTI (i.e., V tp BB = - V tp NBTI ). The value of V SB that compensates for the NBTI change is given by Putting the value of V tp BB, above equation will give V SB = 2[(2ɸ F ) ½ ] x [ V tp NBTI A. Sensing Circuit The sensing circuit for the pmos transistor, shown in fig.3.this is used to estimate the actual value of pmos transistor threshold voltage. In this circuit, the pmos transistor is sized with the same sizing as the pmos transistor in the test circuit and then MOS transistor is a native transistor.by using the α power law model, introduced in [11], and equating the dc currents of the nmos and pmos transistors, The output voltage of Sensing circuit, Vout, is expressed as V out = V tn +r (V DD - V tpstressed ) Fig. 3 V tp Sensing circuit r (VDD - V tpstressed ) (4) ( V tp NBTI ) 2 ] (2) Where V tp NBTI is thedifference between the estimated thresholdvoltage, which is impacted by the process variations, and the nominal threshold voltage. Typically, the source of the pmos transistor is connected to the supply voltagev DD Therefore, the body-bias voltages of the pmos transistor, V BP, which result in NBTI compensation, is given by V BP = V DD - [ V tpstressed (3) - V tpo ] + [ V tpstressed - V tpo ] 2 Where K N and K P are the technological parameters, and P, Nare the sizes of the pmos and the nmos transistors, respectively. It should be noted that the native nmos transistorthreshold voltage, V tn, is assumed to be 0V in [10]. Fig. 3 displays the output voltage of the sensing circuit, Vout versus (V DD Vtpo ). r (V DD - V tpstressed ) is a dc bias voltage representing the nmos transistor nominal threshold voltage value at zero body bias. 11

3 B.AmplifierCircuit ISSN: For D-ABB circuit is shown in Fig.3, several amplifiers with various gains and a large output voltage swing is required. Therefore, the two-stage configuration amplifier circuit, shown in Fig.3, is used. The advantage of this configuration is that it isolates the gain and the output voltage swing requirements. The first stage is configured in a differential pair topology to provide the high gain requirements. Naturally,the second stage is configured as a common source stage to allow maximum output voltage swings [5].Assuming that the following transistors pairs, (NM1 and NM2), (PM1 and PM2) and (NM3and NM5) are matched. According to [16], the mismatch between these transistors threshold voltages is inversely proportional to the square root of the channel area (WL). It should be noted that the amplifier shown in Fig. 4 is work as a non-inverting amplifier.though; this amplifier is configured as an inverting amplifier by changing the input terminals. (i.e., Vin2 and Vin1 become the inputs to transistors NM1 and NM2, respectively}. Similarly, due to the current mirror action between transistors NM1 and NM4, the voltage Vo2 is (gm6/gm11) Vin. Since transistorsmd6, PM9, PM10, PM11 and PM12 are matched, the two output voltages, Vo1 and Vo2, are given by Vo1 = Vo2 = (gm1/gm9)vin. (5) These two output voltages, Vo1 and Vo2, have an equal common-mode voltage, V REFSQ. When these two output voltages are applied to the basic squaring circuit, the finally output voltage, V outsq, is given by [13]. C. Squaring Circuit Fig.4 Proposed Amplifier Circuit One of the essential building blocks in the ABB circuit, shown in Fig. 2, is the squaring circuit. Several squaring circuits are reported in the literature [12], [13]. Fig. 5 depicts the squaring circuit used in the proposed ABB circuit. The proposed squaring circuit a utilized to adjust the squaring circuit output voltage dc offset and the squaring circuit gain.assuming long channel transistor operation, all transistors are operating in the pinch-off saturation region, and the transistors (PM6 and PM7), (PM9, PM10), PM11, and PM12), (PM1, PM3, and PM4), (NM2 and NM3), and (NM1 and NM4), are matched. The small signal current flowing through PM6 is gm6vin/2 that is equal to the small signal current flowing through NM3,which is gm9vo1/2 due to the current mirror action between these transistors. Therefore, Vo1 = (gm1/gm6)vin. Similarly, due to the current mirror action between these transistors. Therefore, Vo1 = (gm6/gm9)vin. Fig.5 Squaring Circuit. III. SIMULATION RESULT AND DISCUSSION Tanner 14.1 tool schematic editor is used to draw schematic and to simulate the proposed design. Schematic of the proposed ABB circuit is first designed on tanner s schematic editor and netlist of the circuit is than taken out. This netlist runs with 45 nm Predictive Technology Model (PTM) file on tanner s spice simulator to get simulation results. The simulation result of the ABB circuit shown in Table 1 shows the effectiveness of the proposed design. The circuit design, generate the body bias voltage required to compensate the effect of change in threshold voltage due to NBTI or process variations. Sensing Circuit: The schematic diagram of sensing circuit is given below. The effect of process variation on threshold voltage of the pmos device is reflected by changing the threshold voltage in the ptm file. The simulation result of the sensor circuit shows a linear behavior between the threshold voltage of thep MOS and output of the sensor 12

4 circuit i.e. the sensor circuit sense voltage values and their actual values prove that the threshold voltage sensing circuit is effective, when used in nanometer technologies. Fig.9 Schematic Diagram of Squaring Circuit Fig.6 Schematic Diagram of Sensing Circuit The simulation result of sensing circuit is shown in fig.7 D-ABB Circuit: Fig.10 Output of the squaring circuit Fig.7 Output of the pmos threshold voltage sensing circuit. Amplifier Circuit: Finally all the block of the D-ABB circuit when combined produces body bias voltage (V BB ) according to nullify the effect of process variation. The simulation result of the amplifier circuit shows linear property in a particular range. We are biasing the circuit in in this linear rang to get ideal characteristics. The gain of proposed amplifier is A = 15. By changing the biasing current and transistor sizing the gain of the amplifier can be modified as required. Fig.11 Schematic Diagram of D-ABB Circuit Table 1: Simulation Results of VBB for Different V th by Sensing Circuit. Squaring Circuit: Fig.8 Schematic Diagram of Amplifier The simulation result of squaring circuit is shown in fig. 9. From the fig. it can be seen that output follows the parabolic curve to satisfy the squaring equation. 13

5 IV. CONCLUSION In this paper we have implemented adaptive body bias technique to mitigate the effect of NBTI and process variation. The simulation result shows that proposed method compensate the change in threshold voltage due to process variation/nbti. The proposed technique alleviates effect of process and NBTI at run time with small area overhead compared to the existing techniques. REFERENCES [1] D. K. Schroder and J. F. Babcock, Negative bias temperature instability:road to cross in deep sub-micron silicon semiconductor manufacturing, J. Appl. Phys., vol. 94, no. 1, pp. 1 18, Jul [2] J. W. Tschanz, J. T. Kao, S. G. Narendra, R. Nair,D.A. Antoiadis, A. P.Chandrakasan, and V. De, Adaptive body bias for reducing impacts od die-to-die and within-die parameter variations on microprocessor frequency and leakage, IEEE J. Solid-State Circuits, vol. 37, no. 11, pp , Nov [3] M. Olivieri, G. Scotti, and A. Trifiletti, A novel yield optimization technique for digital CMOScircuits design by means of process parameters run-time estimation and body bias active control, IEEE Trans.Very Large Scale Integr. (VLSI) Syst., vol. 13, no. 5, pp , May [4] J. Gregg and T. W. Chen, Post silicon power/performance optimization in the presence of process variations using individual well-adaptive body biasing, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 15, no. 3, pp , Mar [5] B. C. Paul, K. Kang, H. Kufluoglu, M. A. Alam, and K. Roy, Impact of NBTI on the temporal performance degradation of circuits, IEEE Trans. Electron. Devices, vol. 26, no. 8, pp , Aug [6] S. V. Kumar, C. H. Kim, and S. S. Sapatnekar, Impact of NBTI on SRAM read stability design for reliability, in Proc. ISQED, 2006, pp [7] K. K. Kim, W. Wang, and K. Choi, On-Chip aging sensor circuits for reliable nanometer MOSFET digital circuits, IEEE Trans. Circuits Syst.II, vol. 57, no. 10, pp , Oct [8] K. Kang, S. P. Park, K. Kim, and K. Roy, On-chip variability sensor using phase locked loop for detecting and correscting parametric timing failures, IEEE Trans. Very Large Scale Integr. Syst., vol. 18, no. 2, pp , Feb [9] T. Grasser, B. Kaczer, W. Goes, H. Reisinger, T. Aichinger, P. Hehenberger, The paradigm shift in understanding the bias temperature Instability: From reaction diffusion to switching oxide traps, IEEE Trans. Electron Devices, vol. 58, no. 11, pp , Nov [10] S.-L. Chen and M.-D. Ker, A new Schmitt trigger in a 0.13-μm 1/2.5- V CMOS process, IEEE Trans. CircuitsSyst. II, vol. 52, no. 7, pp , Jul [11] T. Sakurai and A. Newton, Alpha-power law MOSFET model and its applications IEEE J. Solid-State Circuits, vol. 25, no. 2, pp , Apr [12] R. Hidayat, K. Dejhan, P. Moungnoul, and Y. Miyanaga, OTA-based high frequency CMOS multiplier and squaring circuit, in Proc. Int.Symp. Intell. Signal Process. Commun. Syst., 2008, pp [13] B. Boonchu and W. Surakampontorn, A new nmos fourquadrant analog multiplier, in Proc. IEEE Int. Symp. Circuits Syst., May 2005, pp

NBTI and Process Variation Circuit Design Using Adaptive Body Biasing

NBTI and Process Variation Circuit Design Using Adaptive Body Biasing IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 2, Ver. III (Mar-Apr. 2014), PP 91-98 e-issn: 2319 4200, p-issn No. : 2319 4197 NBTI and Process Variation Circuit Design Using Adaptive

More information

Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates

Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates Seyab Khan Said Hamdioui Abstract Bias Temperature Instability (BTI) and parameter variations are threats to reliability

More information

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies Low-Power and Process Variation Tolerant Memories in sub-9nm Technologies Saibal Mukhopadhyay, Swaroop Ghosh, Keejong Kim, and Kaushik Roy Dept. of ECE, Purdue University, West Lafayette, IN, @ecn.purdue.edu

More information

A Novel Multiplier Design using Adaptive Hold Logic to Mitigate BTI Effect

A Novel Multiplier Design using Adaptive Hold Logic to Mitigate BTI Effect GRD Journals Global Research and Development Journal for Engineering International Conference on Innovations in Engineering and Technology (ICIET) - 2016 July 2016 e-issn: 2455-5703 A Novel Multiplier

More information

AS THE semiconductor process is scaled down, the thickness

AS THE semiconductor process is scaled down, the thickness IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 361 A New Schmitt Trigger Circuit in a 0.13-m 1/2.5-V CMOS Process to Receive 3.3-V Input Signals Shih-Lun Chen,

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP 1 B. Praveen Kumar, 2 G.Rajarajeshwari, 3 J.Anu Infancia 1, 2, 3 PG students / ECE, SNS College of Technology, Coimbatore, (India)

More information

An On-Chip NBTI Sensor for Measuring PMOS Threshold Voltage Degradation

An On-Chip NBTI Sensor for Measuring PMOS Threshold Voltage Degradation An On-Chip NBTI Sensor for Measuring PMOS Threshold Voltage Degradation John Keane Tae-Hyoung Kim Chris H. Kim Department of Electrical Engineering University of Minnesota, Minneapolis, MN {jkeane, thkim,

More information

Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose

Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose Kazutoshi Kobayashi Kyoto Institute of Technology Kyoto, Japan kazutoshi.kobayashi@kit.ac.jp

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Voltage IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Sunil

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b.

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. a PGMICRO, Federal University of Rio Grande do Sul, Porto Alegre, Brazil b Institute

More information

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407 Index A Accuracy active resistor structures, 46, 323, 328, 329, 341, 344, 360 computational circuits, 171 differential amplifiers, 30, 31 exponential circuits, 285, 291, 292 multifunctional structures,

More information

Design and Implementation of less quiescent current, less dropout LDO Regulator in 90nm Technology Madhukumar A S #1, M.

Design and Implementation of less quiescent current, less dropout LDO Regulator in 90nm Technology Madhukumar A S #1, M. Design and Implementation of less quiescent current, less dropout LDO Regulator in 90nm Technology Madhukumar A S #1, M.Nagabhushan #2 #1 M.Tech student, Dept. of ECE. M.S.R.I.T, Bangalore, INDIA #2 Asst.

More information

Chapter 5. Operational Amplifiers and Source Followers. 5.1 Operational Amplifier

Chapter 5. Operational Amplifiers and Source Followers. 5.1 Operational Amplifier Chapter 5 Operational Amplifiers and Source Followers 5.1 Operational Amplifier In single ended operation the output is measured with respect to a fixed potential, usually ground, whereas in double-ended

More information

Design of Signed Multiplier Using T-Flip Flop

Design of Signed Multiplier Using T-Flip Flop African Journal of Basic & Applied Sciences 9 (5): 279-285, 2017 ISSN 2079-2034 IDOSI Publications, 2017 DOI: 10.5829/idosi.ajbas.2017.279.285 Design of Signed Multiplier Using T-Flip Flop 1 2 S.V. Venu

More information

ISSN:

ISSN: High Frequency Power Optimized Ring Voltage Controlled Oscillator for 65nm CMOS Technology NEHA K.MENDHE 1, M. N. THAKARE 2, G. D. KORDE 3 Department of EXTC, B.D.C.O.E, Sevagram, India, nehakmendhe02@gmail.com

More information

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 Dummy Gate-Assisted n-mosfet Layout for a Radiation-Tolerant Integrated Circuit Min Su Lee and Hee Chul Lee Abstract A dummy gate-assisted

More information

Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy

Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy 1 IC Failure Modes Affecting Reliability Via/metallization failure mechanisms Electro migration Stress migration Transistor

More information

Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique

Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique Juliet Abraham 1, Dr. B. Paulchamy 2 1 PG Scholar, Hindusthan institute of Technology, coimbtore-32, India 2 Professor and HOD,

More information

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 2: Basic MOS Physics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture Semiconductor

More information

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs 1 Outline Variations Process, supply voltage, and temperature

More information

Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing

Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing N.Rajini MTech Student A.Akhila Assistant Professor Nihar HoD Abstract This project presents two original implementations

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

RELIABILITY ANALYSIS OF DYNAMIC LOGIC CIRCUITS UNDER TRANSISTOR AGING EFFECTS IN NANOTECHNOLOGY

RELIABILITY ANALYSIS OF DYNAMIC LOGIC CIRCUITS UNDER TRANSISTOR AGING EFFECTS IN NANOTECHNOLOGY RELIABILITY ANALYSIS OF DYNAMIC LOGIC CIRCUITS UNDER TRANSISTOR AGING EFFECTS IN NANOTECHNOLOGY A thesis work submitted to the faculty of San Francisco State University In partial fulfillment of The Requirements

More information

Process Variation Mitigation via Body Bias Technique

Process Variation Mitigation via Body Bias Technique ISSN(Online) : 198753 ISSN (Print) : 476710 Process Variation Mitigation via Body Bias Technique Neha Mishra 1, Shweta Agrawal 2, Prashant Badal 2 Research Scholar, Dept. of ECE., SRCEM Banmore, Morena,

More information

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible A Forward-Body-Bias Tuned 450MHz Gm-C 3 rd -Order Low-Pass Filter in 28nm UTBB FD-SOI with >1dBVp IIP3 over a 0.7-to-1V Supply Joeri Lechevallier 1,2, Remko Struiksma 1, Hani Sherry 2, Andreia Cathelin

More information

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 4 (April 2014), PP.01-06 Design of Low Power High Speed Fully Dynamic

More information

Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low Power Applications

Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low Power Applications International Journal of Engineering Inventions e-issn: 2278-7461, p-issn: 2319-6491 Volume 3, Issue 11 (June 2014) PP: 1-7 Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low Power

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

Cascode Bulk Driven Operational Amplifier with Improved Gain

Cascode Bulk Driven Operational Amplifier with Improved Gain Cascode Bulk Driven Operational Amplifier with Improved Gain A.V.D. Sai Priyanka 1, S. Subba Rao 2 P.G. Student, Department of Electronics and Communication Engineering, VR Siddhartha Engineering College,

More information

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Microelectronics and Solid State Electronics 2013, 2(2): 24-28 DOI: 10.5923/j.msse.20130202.02 Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Keerti Kumar. K

More information

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders 12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders Mr.Devanaboina Ramu, M.tech Dept. of Electronics and Communication Engineering Sri Vasavi Institute of

More information

REFERENCE circuits are the basic building blocks in many

REFERENCE circuits are the basic building blocks in many IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 8, AUGUST 2006 667 New Curvature-Compensation Technique for CMOS Bandgap Reference With Sub-1-V Operation Ming-Dou Ker, Senior

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology OUTLINE Understanding Fabrication Imperfections Layout of MOS Transistor Matching Theory and Mismatches Device Matching, Interdigitation

More information

Introducing Pulsing into Reliability Tests for Advanced CMOS Technologies

Introducing Pulsing into Reliability Tests for Advanced CMOS Technologies WHITE PAPER Introducing Pulsing into Reliability Tests for Advanced CMOS Technologies Pete Hulbert, Industry Consultant Yuegang Zhao, Lead Applications Engineer Keithley Instruments, Inc. AC, or pulsed,

More information

Leakage Diminution of Adder through Novel Ultra Power Gating Technique

Leakage Diminution of Adder through Novel Ultra Power Gating Technique Leakage Diminution of Adder through Novel Ultra Power Gating Technique Aushi Marwah; Prof. Meenakshi Mishra ShriRam College of Engineering & Management, Banmore Abstract: Technology scaling helps us to

More information

Design cycle for MEMS

Design cycle for MEMS Design cycle for MEMS Design cycle for ICs IC Process Selection nmos CMOS BiCMOS ECL for logic for I/O and driver circuit for critical high speed parts of the system The Real Estate of a Wafer MOS Transistor

More information

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR Yang-Shyung Shyu * and Jiin-Chuan Wu Dept. of Electronics Engineering, National Chiao-Tung University 1001 Ta-Hsueh Road, Hsin-Chu, 300, Taiwan * E-mail:

More information

International Journal of ChemTech Research CODEN (USA): IJCRGG ISSN: Vol.7, No.2, pp ,

International Journal of ChemTech Research CODEN (USA): IJCRGG ISSN: Vol.7, No.2, pp , International Journal of ChemTech Research CODEN (USA): IJCRGG ISSN: 974-429 Vol.7, No.2, pp 85-857, 24-25 ICONN 25 [4 th -6 th Feb 25] International Conference on Nanoscience and Nanotechnology-25 SRM

More information

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders B. Madhuri Dr.R. Prabhakar, M.Tech, Ph.D. bmadhusingh16@gmail.com rpr612@gmail.com M.Tech (VLSI&Embedded System Design) Vice

More information

Optimization of Threshold Voltage for 65nm PMOS Transistor using Silvaco TCAD Tools

Optimization of Threshold Voltage for 65nm PMOS Transistor using Silvaco TCAD Tools IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 6, Issue 1 (May. - Jun. 2013), PP 62-67 Optimization of Threshold Voltage for 65nm PMOS Transistor

More information

Reducing Transistor Variability For High Performance Low Power Chips

Reducing Transistor Variability For High Performance Low Power Chips Reducing Transistor Variability For High Performance Low Power Chips HOT Chips 24 Dr Robert Rogenmoser Senior Vice President Product Development & Engineering 1 HotChips 2012 Copyright 2011 SuVolta, Inc.

More information

Chapter 4. CMOS Cascode Amplifiers. 4.1 Introduction. 4.2 CMOS Cascode Amplifiers

Chapter 4. CMOS Cascode Amplifiers. 4.1 Introduction. 4.2 CMOS Cascode Amplifiers Chapter 4 CMOS Cascode Amplifiers 4.1 Introduction A single stage CMOS amplifier cannot give desired dc voltage gain, output resistance and transconductance. The voltage gain can be made to attain higher

More information

Keywords - Analog Multiplier, Four-Quadrant, FVF Differential Structure, Source Follower.

Keywords - Analog Multiplier, Four-Quadrant, FVF Differential Structure, Source Follower. Characterization of CMOS Four Quadrant Analog Multiplier Nipa B. Modi*, Priyesh P. Gandhi ** *(PG Student, Department of Electronics & Communication, L. C. Institute of Technology, Gujarat Technological

More information

ECE 340 Lecture 37 : Metal- Insulator-Semiconductor FET Class Outline:

ECE 340 Lecture 37 : Metal- Insulator-Semiconductor FET Class Outline: ECE 340 Lecture 37 : Metal- Insulator-Semiconductor FET Class Outline: Metal-Semiconductor Junctions MOSFET Basic Operation MOS Capacitor Things you should know when you leave Key Questions What is the

More information

Introduction to VLSI ASIC Design and Technology

Introduction to VLSI ASIC Design and Technology Introduction to VLSI ASIC Design and Technology Paulo Moreira CERN - Geneva, Switzerland Paulo Moreira Introduction 1 Outline Introduction Is there a limit? Transistors CMOS building blocks Parasitics

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

EE301 Electronics I , Fall

EE301 Electronics I , Fall EE301 Electronics I 2018-2019, Fall 1. Introduction to Microelectronics (1 Week/3 Hrs.) Introduction, Historical Background, Basic Consepts 2. Rewiev of Semiconductors (1 Week/3 Hrs.) Semiconductor materials

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2 ISSN 2277-2685 IJESR/October 2014/ Vol-4/Issue-10/682-687 Thota Keerthi et al./ International Journal of Engineering & Science Research DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN

More information

Lecture 16: MOS Transistor models: Linear models, SPICE models. Context. In the last lecture, we discussed the MOS transistor, and

Lecture 16: MOS Transistor models: Linear models, SPICE models. Context. In the last lecture, we discussed the MOS transistor, and Lecture 16: MOS Transistor models: Linear models, SPICE models Context In the last lecture, we discussed the MOS transistor, and added a correction due to the changing depletion region, called the body

More information

Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability

Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability Islam A.K.M Mahfuzul Department of Communications and Computer Engineering Kyoto University mahfuz@vlsi.kuee.kyotou.ac.jp

More information

A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak Narayanan 1 Mr.G.RajeshBabu 2

A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak Narayanan 1 Mr.G.RajeshBabu 2 IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 03, 2016 ISSN (online): 2321-0613 A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak

More information

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Lecture 16 Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Outline Complementary metal oxide semiconductor (CMOS) Inverting circuit Properties Operating points Propagation delay Power dissipation

More information

Impact of NBTI on SRAM Read Stability and Design for Reliability

Impact of NBTI on SRAM Read Stability and Design for Reliability Impact of NBTI on SRAM Read Stability and Design for Reliability Sanjay V. Kumar, Chris H. Kim, and Sachin S. Sapatnekar Department of Electrical and Computer Engineering, University of Minnesota, Minneapolis,

More information

Low-Power Comparator Using CMOS Inverter Based Differential Amplifier

Low-Power Comparator Using CMOS Inverter Based Differential Amplifier Low-Power Comparator Using CMOS Inverter Based Differential Amplifier P.Ilakya 1 1 Madha Engineering College, M.E.VLSI design, ilakya091@gmail.com, G.Paranthaman 2 2 Madha Engineering college, Asst. Professor,

More information

Current Mirrors. Current Source and Sink, Small Signal and Large Signal Analysis of MOS. Knowledge of Various kinds of Current Mirrors

Current Mirrors. Current Source and Sink, Small Signal and Large Signal Analysis of MOS. Knowledge of Various kinds of Current Mirrors Motivation Current Mirrors Current sources have many important applications in analog design. For example, some digital-to-analog converters employ an array of current sources to produce an analog output

More information

Design of Optimized Digital Logic Circuits Using FinFET

Design of Optimized Digital Logic Circuits Using FinFET Design of Optimized Digital Logic Circuits Using FinFET M. MUTHUSELVI muthuselvi.m93@gmail.com J. MENICK JERLINE jerlin30@gmail.com, R. MARIAAMUTHA maria.amutha@gmail.com I. BLESSING MESHACH DASON blessingmeshach@gmail.com.

More information

Design of Robust CMOS Amplifiers Combining Advanced Low-Voltage and Feedback Techniques

Design of Robust CMOS Amplifiers Combining Advanced Low-Voltage and Feedback Techniques Design of Robust CMOS Amplifiers Combining Advanced Low-Voltage and Feedback Techniques Somayeh Abdollahvand, António Gomes, David Rodrigues, Fábio Januário and João Goes Centre for Technologies and Systems

More information

Design of a Capacitor-less Low Dropout Voltage Regulator

Design of a Capacitor-less Low Dropout Voltage Regulator Design of a Capacitor-less Low Dropout Voltage Regulator Sheenam Ahmed 1, Isha Baokar 2, R Sakthivel 3 1 Student, M.Tech VLSI, School of Electronics Engineering, VIT University, Vellore, Tamil Nadu, India

More information

A Methodology for Measuring Transistor Ageing Effects Towards Accurate Reliability Simulation

A Methodology for Measuring Transistor Ageing Effects Towards Accurate Reliability Simulation A Methodology for Measuring Transistor Ageing Effects Towards Accurate Reliability Simulation Elie Maricau and Georges Gielen ESAT-MICAS KULeuven Heverlee-Leuven, Belgium 3001 Email: elie.maricau@esat.kuleuven.be

More information

WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS

WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS HOW TO MINIMIZE DESIGN MARGINS WITH ACCURATE ADVANCED TRANSISTOR DEGRADATION MODELS Reliability is a major criterion for

More information

UNIT-1 Bipolar Junction Transistors. Text Book:, Microelectronic Circuits 6 ed., by Sedra and Smith, Oxford Press

UNIT-1 Bipolar Junction Transistors. Text Book:, Microelectronic Circuits 6 ed., by Sedra and Smith, Oxford Press UNIT-1 Bipolar Junction Transistors Text Book:, Microelectronic Circuits 6 ed., by Sedra and Smith, Oxford Press Figure 6.1 A simplified structure of the npn transistor. Microelectronic Circuits, Sixth

More information

6.012 Microelectronic Devices and Circuits

6.012 Microelectronic Devices and Circuits Page 1 of 13 YOUR NAME Department of Electrical Engineering and Computer Science Massachusetts Institute of Technology 6.012 Microelectronic Devices and Circuits Final Eam Closed Book: Formula sheet provided;

More information

Solid State Devices- Part- II. Module- IV

Solid State Devices- Part- II. Module- IV Solid State Devices- Part- II Module- IV MOS Capacitor Two terminal MOS device MOS = Metal- Oxide- Semiconductor MOS capacitor - the heart of the MOSFET The MOS capacitor is used to induce charge at the

More information

Design and Analysis of High Gain Differential Amplifier Using Various Topologies

Design and Analysis of High Gain Differential Amplifier Using Various Topologies Design and Analysis of High Gain Amplifier Using Various Topologies SAMARLA.SHILPA 1, J SRILATHA 2 1Assistant Professor, Dept of Electronics and Communication Engineering, NNRG, Ghatkesar, Hyderabad, India.

More information

BJT Amplifier. Superposition principle (linear amplifier)

BJT Amplifier. Superposition principle (linear amplifier) BJT Amplifier Two types analysis DC analysis Applied DC voltage source AC analysis Time varying signal source Superposition principle (linear amplifier) The response of a linear amplifier circuit excited

More information

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator 1 G. Rajesh, 2 G. Guru Prakash, 3 M.Yachendra, 4 O.Venka babu, 5 Mr. G. Kiran Kumar 1,2,3,4 Final year, B. Tech, Department

More information

Designing and Simulation of Full Adder Cell using Self Reverse Biasing Technique

Designing and Simulation of Full Adder Cell using Self Reverse Biasing Technique Designing and Simulation of Full Adder Cell using Self Reverse Biasing Technique Chandni jain 1, Shipra mishra 2 1 M.tech. Embedded system & VLSI Design NITM,Gwalior M.P. India 474001 2 Asst Prof. EC Dept.,

More information

An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band of Applications

An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band of Applications IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 10 April 2016 ISSN (online): 2349-784X An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band

More information

MOSFET & IC Basics - GATE Problems (Part - I)

MOSFET & IC Basics - GATE Problems (Part - I) MOSFET & IC Basics - GATE Problems (Part - I) 1. Channel current is reduced on application of a more positive voltage to the GATE of the depletion mode n channel MOSFET. (True/False) [GATE 1994: 1 Mark]

More information

Study of Transistor Mismatch in Differential Amplifier at 32 nm CMOS Technology

Study of Transistor Mismatch in Differential Amplifier at 32 nm CMOS Technology 109 Study of Transistor Mismatch in Differential Amplifier at 32 nm CMOS Technology V.S.Raju Mandapati 1, Nishanth P V 2 and Roy Paily 3 1 Dept of Electronics and Communication Engineering Indian Institute

More information

An Improved Bandgap Reference (BGR) Circuit with Constant Voltage and Current Outputs

An Improved Bandgap Reference (BGR) Circuit with Constant Voltage and Current Outputs International Journal of Research in Engineering and Innovation Vol-1, Issue-6 (2017), 60-64 International Journal of Research in Engineering and Innovation (IJREI) journal home page: http://www.ijrei.com

More information

An Analytical model of the Bulk-DTMOS transistor

An Analytical model of the Bulk-DTMOS transistor Journal of Electron Devices, Vol. 8, 2010, pp. 329-338 JED [ISSN: 1682-3427 ] Journal of Electron Devices www.jeldev.org An Analytical model of the Bulk-DTMOS transistor Vandana Niranjan Indira Gandhi

More information

ECE 340 Lecture 40 : MOSFET I

ECE 340 Lecture 40 : MOSFET I ECE 340 Lecture 40 : MOSFET I Class Outline: MOS Capacitance-Voltage Analysis MOSFET - Output Characteristics MOSFET - Transfer Characteristics Things you should know when you leave Key Questions How do

More information

ONE BIT 8T FULL ADDER CIRCUIT USING 3T XOR GATE AND ONE MULTIPLEXER

ONE BIT 8T FULL ADDER CIRCUIT USING 3T XOR GATE AND ONE MULTIPLEXER ONE BIT 8T FULL ADDER CIRCUIT USING 3T XOR GATE AND ONE MULTIPLEXER Priyanka Rathoreˡ and Bhavana Jharia² ˡPG Student, Ujjain engg. College, Ujjain ²Professor, ECE dept., UEC, Ujjain ABSTRACT This paper

More information

Microelectronics, BSc course

Microelectronics, BSc course Microelectronics, BSc course MOS circuits: CMOS circuits, construction http://www.eet.bme.hu/~poppe/miel/en/14-cmos.pptx http://www.eet.bme.hu The abstraction level of our study: SYSTEM + MODULE GATE CIRCUIT

More information

Advances In Natural And Applied Sciences Homepage: October; 12(10): pages 1-7 DOI: /anas

Advances In Natural And Applied Sciences Homepage: October; 12(10): pages 1-7 DOI: /anas Advances In Natural And Applied Sciences Homepage: http://www.aensiweb.com/anas/ 2018 October; 12(10): pages 1-7 DOI: 10.22587/anas.2018.12.10.1 Research Article AENSI Publications Design of CMOS Architecture

More information

55:041 Electronic Circuits

55:041 Electronic Circuits 55:041 Electronic Circuits MOSFETs Sections of Chapter 3 &4 A. Kruger MOSFETs, Page-1 Basic Structure of MOS Capacitor Sect. 3.1 Width = 1 10-6 m or less Thickness = 50 10-9 m or less ` MOS Metal-Oxide-Semiconductor

More information

MOS TRANSISTOR THEORY

MOS TRANSISTOR THEORY MOS TRANSISTOR THEORY Introduction A MOS transistor is a majority-carrier device, in which the current in a conducting channel between the source and the drain is modulated by a voltage applied to the

More information

Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2

Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2 Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2 1 ME, Dept. Of Electronics And Telecommunication,PREC, Maharashtra, India 2 Associate Professor,

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

Georgia Institute of Technology School of Electrical and Computer Engineering. Midterm Exam

Georgia Institute of Technology School of Electrical and Computer Engineering. Midterm Exam Georgia Institute of Technology School of Electrical and Computer Engineering Midterm Exam ECE-3400 Fall 2013 Tue, September 24, 2013 Duration: 80min First name Solutions Last name Solutions ID number

More information

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 5: Basic CMOS Inverter Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture

More information

DESIGN AND IMPLEMENTATION OF A LOW VOLTAGE LOW POWER DOUBLE TAIL COMPARATOR

DESIGN AND IMPLEMENTATION OF A LOW VOLTAGE LOW POWER DOUBLE TAIL COMPARATOR DESIGN AND IMPLEMENTATION OF A LOW VOLTAGE LOW POWER DOUBLE TAIL COMPARATOR 1 C.Hamsaveni, 2 R.Ramya 1,2 PG Scholar, Department of ECE, Hindusthan Institute of Technology, Coimbatore(India) ABSTRACT Comparators

More information

Lecture Integrated circuits era

Lecture Integrated circuits era Lecture 1 1.1 Integrated circuits era Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell laboratories. In 1961, first IC was introduced. Levels of Integration:-

More information

55:041 Electronic Circuits

55:041 Electronic Circuits 55:041 Electronic Circuits Mosfet Review Sections of Chapter 3 &4 A. Kruger Mosfet Review, Page-1 Basic Structure of MOS Capacitor Sect. 3.1 Width 1 10-6 m or less Thickness 50 10-9 m or less ` MOS Metal-Oxide-Semiconductor

More information

IN NANOSCALE CMOS devices, the random variations in

IN NANOSCALE CMOS devices, the random variations in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 9, SEPTEMBER 2005 1787 Estimation of Delay Variations due to Random-Dopant Fluctuations in Nanoscale CMOS Circuits Hamid Mahmoodi, Student Member, IEEE,

More information

Temperature-aware NBTI modeling and the impact of input vector control on performance degradation

Temperature-aware NBTI modeling and the impact of input vector control on performance degradation Temperature-aware NBTI modeling and the impact of input vector control on performance degradation Yu Wang, Hong Luo, Ku He, Rong Luo, Huazhong Yang Circuits and Systems Division, E.E. Dept., Tsinghua University,

More information

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology Research Paper American Journal of Engineering Research (AJER) e-issn : 2320-0847 p-issn : 2320-0936 Volume-3, Issue-9, pp-15-19 www.ajer.org Open Access Design of a Low Voltage low Power Double tail comparator

More information

Performance of Low Power SRAM Cells On SNM and Power Dissipation

Performance of Low Power SRAM Cells On SNM and Power Dissipation Performance of Low Power SRAM Cells On SNM and Power Dissipation Kanika Kaur 1, Anurag Arora 2 KIIT College of Engineering, Gurgaon, Haryana, INDIA Abstract: Over the years, power requirement reduction

More information

Basic Fabrication Steps

Basic Fabrication Steps Basic Fabrication Steps and Layout Somayyeh Koohi Department of Computer Engineering Adapted with modifications from lecture notes prepared by author Outline Fabrication steps Transistor structures Transistor

More information